This tool looks for unlabeled Wikidata items in your language. It starts with a Wikidata item,
looks at all the associated Wikipedia pages, the links on all those pages, and lists the Wikidata items corresponding to the link targets.
Q194368 - 16-bit computing
Searching link targets on 31 Wikipedias...
Item | Label [en] | Wikilabels | Link # |
---|---|---|---|
Q8805 [CC | ] | bit | Bit | Bito | 位元 | ビット | Бит | 비트 (단위) | Біт | بیت (رایانه) | Bitt | بت | Bit (bilişim) | 25 |
Q270159 [CC | ] | 8-bit computing | 8 bits | 8-bit | 8位元 | 8ビット | 8bitový | 8-Bit-Architektur | Architecture 8 bits | Architektura 8-bitowa | 8 бит (компьютерная архитектура) | 8비트 | 8 bit | 8 біт (камп’ютарная архітэктура) | 8 bites architektúra | ۸ بیتی | 8-bitars CPU | 8-biter | 8-bit computing | معمارية 8 بت | 8-бітова архітектура | 23 |
Q225147 [CC | ] | 32-bit computing | 32 bits | 32-bit | 32位元 | 32ビット | 32bitový | 32-Bit-Architektur | Architecture 32 bits | Architektura 32-bitowa | 32 бита | 32비트 | 32 bit | 32 bites architektúra | ۳۲-بیت | 32-biter | 32-bitine arvutiarhitektuur | 32-bit computing | معمارية 32 بت | 32-бітова архітектура | 22 |
Q207539 [CC | ] | Intel 8086 | Intel 8086 | 인텔 8086 | إنتل 8086 | 22 |
Q622888 [CC | ] | Intel 80286 | Intel 80286 | 인텔 80286 | اینتل ۸۰۲۸۶ | 80286 | إنتل 80286 | 21 |
Q252132 [CC | ] | 64-bit computing | 64 bits | 64-bit | 64位元 | 64ビット | 64bitový | 64-Bit-Architektur | Processeur 64 bits | Architektura 64-bitowa | 64 бита | 64비트 | 64 bit | 64 bites architektúra | 64-biter | 64-bit computing | معمارية 64 بت | 64 біти | 19 |
Q657808 [CC | ] | Intel 8088 | Intel 8088 | 인텔 8088 | إنتل 8088 | 18 |
Q8799 [CC | ] | byte | Byte | Bajt | Bait | 字节 | バイト (情報) | بایت | بايت | 18 |
Q229932 [CC | ] | 4-bit computing | 4-bit | 4位元 | 4ビット | 4 bits | 4bitový | 4-Bit-Architektur | Architektura 4-bitowa | 4비트 | 4 bit | 4 bites architektúra | ۴-بیت | 4-biter | 4-bit computing | 4-بت | 4-біт архітектура | 17 |
Q187466 [CC | ] | processor register | 寄存器 | レジスタ (コンピュータ) | Registrador (informática) | Registr procesoru | Registre de processeur | Registro (hardware) | Register (procesor) | Регистр процессора | 프로세서 레지스터 | Regiszter (számítástechnika) | Processorregister | Register (arvutitehnika) | Processor register | سجل المعالج | Регістр процесора | İşlemci yazmacı | 16 |
Q5297 [CC | ] | microprocessor | 微处理器 | マイクロプロセッサ | Microprocesseur | Microprocesador | Микропроцессор | Microprocessador | 마이크로프로세서 | Мікрапрацэсар | ریزپردازنده | Mikroprocessor | Mikroprosessor | Mikroprotsessor | Microprocesor | Microprocessor | Мікропроцесор | Mikroişlemci | 16 |
Q248 [CC | ] | Intel | Intel | اینتل | إنتل | 15 |
Q5300 [CC | ] | central processing unit | CPU | Central processing unit | 中央处理器 | Unidade central de processamento | Unit pemprosesan pusat | Центральный процессор | 중앙 처리 장치 | Цэнтральны працэсар | واحد پردازش مرکزی | وحدة معالجة مركزية | Центральний процесор | 15 |
Q192903 [CC | ] | arithmetic logic unit | 算術邏輯單元 | 演算装置 | Unidade lógica e aritmética | Aritmeticko-logická jednotka | Unité arithmétique et logique | Unidad aritmética lógica | Арифметико-логическое устройство | 산술 논리 장치 | Aritmetikai-logikai egység | واحد محاسبه و منطق | Aritmetisk logisk enhet | Aritmeetika-loogikaplokk | Arithmetic logic unit | وحدة الحساب والمنطق | Арифметико-логічний пристрій | 15 |
Q173212 [CC | ] | computer architecture | コンピュータ・アーキテクチャ | Arquitetura de computadores | Arquitectura de computadoras | Seni bina komputer | Архитектура компьютера | Arquitectura (informàtica) | 컴퓨터 구조 | Architettura dei calcolatori | Számítógép-architektúra | معماری رایانه | Datorarkitektur | Computer architecture | معمارية الحاسوب | Архітектура комп'ютера | Bilgisayar mimarisi | 15 |
Q625642 [CC | ] | word | 字 (计算机) | ワード | Palavra (ciência da computação) | Slovo (paměťová jednotka) | Datenwort | Mot (architecture informatique) | Słowo maszynowe | Машинное слово | 워드 (컴퓨팅) | Szó (számítástechnika) | کلمه (معماری رایانه) | Ord (datorterm) | Word (computer architecture) | Машинне слово | 14 |
Q178048 [CC | ] | bus | 总线 | バス (コンピュータ) | Sběrnice | Bus informatique | Zbernica | Bus (informàtica) | 버스 (컴퓨팅) | Bus (informatica) | گذرگاه (رایانه) | Buss (elektronisk term) | Siin | Bus (computing) | Комп'ютерна шина | Veri yolu (bilgisayar) | 14 |
Q1327833 [CC | ] | PDP-11 | PDP-11 | PDP–11 | 14 |
Q835713 [CC | ] | memory address | メモリアドレス | Adressage mémoire | Dirección de memoria | Adres pamięci | Adreça de memòria | 메모리 주소 | Indirizzo di memoria | Minneadresse | Mäluaadress | 記憶體地址 | Memory address | عنوان الذاكرة | Адреса (інформатика) | Bellek adresi | 14 |
Q2296355 [CC | ] | 128-bit computing | 128位元 | 128ビット | 128 bits | Processeur 128 bits | 128-bit | 128 бит | 128비트 | 128 bit | ۱۲۸-بیت | 128-biter | 128-bit computing | معمارية 128 بت | 128 біт | 13 |
Q3271420 [CC | ] | 12-bit computing | 12位元 | 12ビット | 12 bits | 12bitový | Architektura 12-bitowa | 12-bit | 12 bites architektúra | ۱۲-بیت | 12-biter | 12-bit computing | معمارية 12 بت | 12-біт архітектура | 12 |
Q189088 [CC | ] | von Neumann architecture | 冯诺伊曼结构 | ノイマン型 | Arquitetura de von Neumann | Architecture de von Neumann | Архитектура фон Неймана | 폰 노이만 구조 | Архітэктура фон Нэймана | Neumann-architektúra | معماری فون نویمان | Von Neumann architecture | معمارية فون نيومان | Архітектура фон Неймана | 12 |
Q165678 [CC | ] | microcontroller | 单片机 | マイクロコントローラ | Microcontrolador | Microcontrôleur | Микроконтроллер | 마이크로컨트롤러 | Мікракантролер | Mikrovezérlő | ریزکنترلگر | Microcontroller | متحكم صغري | Мікроконтролер | 12 |
Q189376 [CC | ] | reduced instruction set computing | RISC | Reduced Instruction Set Computing | 精简指令集计算机 | Reduced Instruction Set Computer | Processeur à jeu d'instructions réduit | 축소 명령어 집합 컴퓨터 | رایانه کم دستور | Reduced instruction set computer | حاسوب مجموعة تعليمات مخفضة | 12 |
Q189120 [CC | ] | complex instruction set computer | CISC | 複雜指令集電腦 | Complex Instruction Set Computer | Microprocesseur à jeu d'instructions étendu | 복잡 명령어 집합 컴퓨터 | Complex Instruction Set Computing | رایانه با دستورهای پیچیده | Complex instruction set computer | حاسوب مجموعة تعليمات معقدة | 12 |
Q193412 [CC | ] | Texas Instruments | Texas Instruments | تگزاس اینسترومنتس | 12 |
Q518020 [CC | ] | memory management unit | 内存管理单元 | メモリ管理ユニット | Unidade de gerenciamento de memória | Unité de gestion de mémoire | Блок управления памятью | 메모리 관리 장치 | MMU | واحد مدیریت حافظه | Memory management unit | وحدة إدارة الذاكرة | Модуль керування пам'яттю | 11 |
Q339387 [CC | ] | SIMD | SIMD | 单指令流多数据流 | Single instruction multiple data | یک دستور چند داده | Single instruction, multiple data | تعليمات وحيدة بيانات متعددة | 11 |
Q919509 [CC | ] | vector processor | 向量处理器 | ベクトル計算機 | Processador vetorial | Vektorprozessor | Processeur vectoriel | Векторный процессор | 벡터 프로세서 | Vektorprocesszor | پردازنده برداری | Vector processor | Векторний процесор | 11 |
Q641044 [CC | ] | Harvard architecture | 哈佛结构 | ハーバード・アーキテクチャ | Arquitetura Harvard | Architecture de type Harvard | Гарвардская архитектура | 하버드 아키텍처 | Harvard-architektúra | معماری هاروارد | Harvard architecture | معمارية هارفارد | Гарвардська архітектура | 11 |
Q232661 [CC | ] | parallel computing | 并行计算 | 並列計算 | Computação paralela | Parallélisme (informatique) | Параллельные вычислительные системы | 병렬 컴퓨팅 | Párhuzamos számítástechnika | رایانش موازی | Parallel computing | حوسبة متوازية | Паралельні обчислення | 11 |
Q667808 [CC | ] | Motorola 68000 | Motorola 68000 | 摩托罗拉68000 | MC68000 | 모토로라 68000 | 11 |
Q733507 [CC | ] | floating-point unit | 浮点运算器 | Unidade de ponto flutuante | Gleitkommaeinheit | Unité de calcul en virgule flottante | Математический сопроцессор | 부동소수점 장치 | FPU | واحد ممیز شناور | Floating-point unit | وحدة الفاصلة العائمة | Математичний співпроцесор | 11 |
Q5654169 [CC | ] | Flynn's taxonomy | 費林分類法 | フリンの分類 | Taxonomia de Flynn | Taxonomie de Flynn | Таксономия Флинна | 플린 분류 | Flynn-féle osztályozás | طبقهبندی فلین | Flynn's taxonomy | تصنيف فلين | Таксономія Флінна | 11 |
Q249743 [CC | ] | very long instruction word | VLIW | Very long instruction word | 超长指令字 | Very Long Instruction Word | کلمه دستورالعمل بسیار طولانی | 11 |
Q1195496 [CC | ] | 24-bit computing | 24 bits | 24ビット | 24bitový | Architektura 24-bitowa | 24-bit | 24비트 | 24 bites architektúra | 24-biter | 24-bit computing | معمارية 24 بت | 11 |
Q729138 [CC | ] | integer data type | 整数型 | Inteiro (ciência da computação) | Entier (informatique) | Целое (тип данных) | 정수형 | Numero intero (informatica) | Egész (informatika) | Täisarv (andmetüüp) | Integer (computer science) | Ціле число (тип даних) | Tam sayı (bilgisayar bilimi) | 11 |
Q1139736 [CC | ] | SISD | SISD | 單指令流單數據流 | Single instruction on single data | یک دستور یک داده | Single instruction, single data | تعليمات وحيدة بيانات وحيدة | 10 |
Q1190992 [CC | ] | MISD | MISD | 多指令流單數據流 | Multiple instructions single data | چند دستور یک داده | Multiple instruction, single data | تعليمات متعددة بيانات وحيدة | 10 |
Q1149237 [CC | ] | MIMD | MIMD | 多指令流多数据流 | Multiple instructions on multiple data | چند دستور چند داده | Multiple instruction, multiple data | تعليمات متعددة بيانات متعددة | 10 |
Q2490641 [CC | ] | instruction pipeline | 指令管線化 | 命令パイプライン | Pipeline (hardware) | Pipeline (architecture des processeurs) | Вычислительный конвейер | 명령어 파이프라인 | خط لوله (رایانه) | Instruction pipelining | خط أنابيب تعليمات | Конвеєр команд | 10 |
Q272683 [CC | ] | instruction set architecture | 指令集架構 | Befehlssatzarchitektur | Архитектура набора команд | 명령어 집합 | Utasításkészlet | مجموعه دستورالعمل | Instruktionsuppsättning | Instruction set architecture | مجموعة التعليمات | Архітектура системи команд | 10 |
Q189434 [CC | ] | multiplexer | Multiplexer | 数据选择器 | マルチプレクサ | Multiplexador | Multiplexeur | Мультиплексор (электроника) | 멀티플렉서 | تسهیمکننده | Мультиплексор | 10 |
Q42848 [CC | ] | data | Data | データ | Dane | Adat | Andmed | 數據 | بيانات | Дані | Veri | 10 |
Q690079 [CC | ] | Digital Equipment Corporation | Digital Equipment Corporation | دیجیتال ایکویپمنت کورپوریشن | 10 |
Q738770 [CC | ] | Western Digital | Western Digital | وسترن دیجیتال | 10 |
Q161583 [CC | ] | 1-bit computing | 1位元 | 1 bit | 1-Bit-Architektur | 1 bites architektúra | معماری ۱-بیتی | 1-bit | 1-bit computing | معمارية 1 بت | 1-біт архітектура | 9 |
Q352090 [CC | ] | CPU cache | CPU缓存 | キャッシュメモリ | Cache do processador | Cache de processeur | Кэш процессора | CPU 캐시 | حافظه نهان سیپییو | CPU cache | Кеш процесора | 9 |
Q610398 [CC | ] | system on a chip | 单片系统 | System-on-a-chip | Système sur une puce | Система на кристалле | Egylapkás rendszer | سامانه روی یک تراشه | System on a chip | نظام على رقاقة | Система на кристалі | 9 |
Q830955 [CC | ] | Hyper-threading | Hyper-threading | 超執行緒 | ハイパースレッディング・テクノロジー | 하이퍼스레딩 | فراریسمانی | تقنية خيوط المعالجة الفائقة | 9 |
Q1045706 [CC | ] | superscalar processor | 超純量 | スーパースカラー | Superescalar | Processeur superscalaire | Суперскалярность | 슈퍼스칼라 | سوپراسکالر | Superscalar processor | Суперскалярність | 9 |
Q1071403 [CC | ] | translation lookaside buffer | Translation lookaside buffer | 轉譯後備緩衝區 | トランスレーション・ルックアサイド・バッファ | Буфер ассоциативной трансляции | 변환 색인 버퍼 | تیالبی | Буфер асоціативної трансляції | 9 |
Q1201158 [CC | ] | explicitly parallel instruction computing | Explicitly parallel instruction computing | 顯式並行指令運算 | EPICアーキテクチャ | Explicitly Parallel Instruction Computing | EPIC (архитектура микропроцессора) | EPIC 아키텍처 | رایانش موازی صریح دستورالعملها | EPIC | 9 |
Q379523 [CC | ] | Advanced Configuration and Power Interface | ACPI | Advanced Configuration and Power Interface | 高级配置与电源接口 | رابط قدرت و تنظیمات پیشرفته | 9 |
Q3271413 [CC | ] | 18-bit computing | 18ビット | 18 bits | 18bitový | Architektura 18-bitowa | 18-bit | 18 bites architektúra | 18-biter | 18-bit computing | معمارية 18 بت | 9 |
Q232577 [CC | ] | two's complement | 2の補数 | Complément à deux | Complemento a dos | Complement a dos | 2의 보수 | Complemento a due | Two's complement | متمم ثنائي | Доповняльний код | 9 |
Q166142 [CC | ] | application | アプリケーションソフトウェア | Прикладное программное обеспечение | 응용 소프트웨어 | Alkalmazás (számítástechnika) | نرمافزار کاربردی | Tillämpningsprogram | Applikasjonsprogramvare | Application software | Застосунок | 9 |
Q16338 [CC | ] | personal computer | Personal computer | パーソナルコンピュータ | Komputer peribadi | Ordinador personal | 개인용 컴퓨터 | Persondator | Personaalarvuti | حاسوب شخصي | 9 |
Q725524 [CC | ] | Intel 80186 | Intel 80186 | 9 |
Q47604 [CC | ] | MS-DOS | MS-DOS | 8 |
Q911682 [CC | ] | address bus | 位址匯流排 | アドレスバス | Bus de direcciones | Szyna adresowa | 주소 버스 | Aadressisiin | Address bus | Шина адреси | 8 |
Q851872 [CC | ] | octet | Octet | 八位元 | オクテット (コンピュータ) | Oktet (informatika) | Oktet (informatyka) | Октет (информатика) | Octet (computing) | 8 |
Q679552 [CC | ] | branch predictor | 分支預測器 | Branch prediction | Prédiction de branchement | Предсказатель переходов | 분기 예측 | پیشبینیکننده پرش | Branch predictor | Модуль передбачення переходів | 8 |
Q971505 [CC | ] | general-purpose computing on graphics processing units | GPGPU | 图形处理器通用计算 | General Purpose Computation on Graphics Processing Unit | General-purpose processing on graphics processing units | محاسبات همهمنظوره بر روی واحد پردازش گرافیکی | General-purpose computing on graphics processing units | 8 |
Q180944 [CC | ] | register file | 寄存器堆 | レジスタファイル | Banc de registres | Регистровый файл | 레지스터 파일 | فایل ثبات | Register file | Регістровий файл | 8 |
Q1754803 [CC | ] | register renaming | 寄存器重命名 | レジスタ・リネーミング | Renomeação de registradores | Renommage de registres | Переименование регистров | تغییر نام ثبات | Register renaming | Перейменування регістрів | 8 |
Q175869 [CC | ] | microcode | 微程序 | Microprogrammation | Microcódigo | Микрокод | 마이크로코드 | ریزبرنامهسازی | Microcode | Мікрокод | 8 |
Q106370 [CC | ] | digital signal processor | 數位訊號處理器 | デジタルシグナルプロセッサ | Processeur de signal numérique | Цифровой сигнальный процессор | 디지털 신호 처리 장치 | Digitális jelprocesszor | Digital signal processor | Процесор цифрових сигналів | 8 |
Q1140942 [CC | ] | physics processing unit | 物理處理器 | Unidade de processamento de física | Physikbeschleuniger | Processeur physique | Физический процессор | 물리 처리 장치 | Physics processing unit | Фізичний процесор | 8 |
Q814194 [CC | ] | program counter | 程式計數器 | Compteur ordinal | Contador de programa | Счётчик команд | 프로그램 카운터 | Programszámláló | شمارنده برنامه | Program counter | 8 |
Q379704 [CC | ] | Advanced power management | Advanced Power Management | APM | 高级电源管理 | 고급 전원 관리 | 8 |
Q3271378 [CC | ] | 36-bit computing | 36ビット | Architektura 36-bitowa | 36-bit | 36 бит | 36 bites architektúra | 36-biter | 36-bit computing | معمارية 36 بت | 8 |
Q959339 [CC | ] | 48-bit computing | 48ビット | 48 bits | 48bitový | 48-bit | 48비트 | 48-biter | 48-bit computing | معمارية 48 بت | 8 |
Q512020 [CC | ] | WDC W65C816 | WDC 65816/65802 | 65816 (コンピュータ) | Western Design Center 65816 | MOS Technology 65C816 | WDC 65816 | دبليو دي سي 65816/65802 | 8 |
Q1065726 [CC | ] | Intel 80188 | Intel 80188 | إنتل 80188 | 8 |
Q80978 [CC | ] | Hewlett-Packard | Hewlett-Packard | هیولت پاکارد | 8 |
Q37156 [CC | ] | IBM | IBM | آیبیام | 8 |
Q432492 [CC | ] | National Semiconductor | National Semiconductor | نشنال سمیکنداکتر | 8 |
Q12503 [CC | ] | integer | Integer | Número entero | Celé číslo | Nombre enter | Heltall | 整数 | عدد صحيح | 8 |
Q219203 [CC | ] | NEC | NEC | NEC Corporation | انایسی | 8 |
Q68 [CC | ] | computer | Komputilo | Ordinador | 컴퓨터 | Computer | Dator | Calculator | Bilgisayar | 7 |
Q1406 [CC | ] | Microsoft Windows | Microsoft Windows | Windows | 7 |
Q182933 [CC | ] | x86 | X86 | Architettura x86 | اکس۸۶ | 7 |
Q1153762 [CC | ] | out-of-order execution | 乱序执行 | アウト・オブ・オーダー実行 | Exécution dans le désordre | Внеочередное исполнение | 비순차적 명령어 처리 | Out-of-order execution | Позачергове виконання | 7 |
Q2365430 [CC | ] | One instruction set computer | URISC | 单一指令计算机 | OISC | Máquina de uma instrução | Ordinateur à jeu d'instruction unique | One-instruction set computer | 7 |
Q82178 [CC | ] | simultaneous multithreading | Simultaneous multithreading | 同时多线程 | 同時マルチスレッディング | Одновременная многопоточность | 동시 멀티스레딩 | چندریسمانی همزمان | 7 |
Q1466064 [CC | ] | processor | 处理器 (计算) | プロセッサ | Prozessor | Processeur | Processore | پردازنده (رایانش) | Processor (computing) | 7 |
Q1064412 [CC | ] | hardware multithreading | 多线程 | ハードウェアマルチスレッディング | Multithreading | Многопоточность | 멀티스레딩 | چندریسمانی | Multithreading (computer architecture) | 7 |
Q1193100 [CC | ] | preemption | 抢占式多任务处理 | Preemptividade | Multitâche préemptif | 선점 스케줄링 | پیشاجرایی (رایانش) | Preemption (computing) | Витискальна багатозадачність | 7 |
Q2714055 [CC | ] | Instruction level parallelism | 指令層級平行 | 命令レベルの並列性 | Параллелизм на уровне команд | موازیسازی در سطح دستورالعمل | Instruction-level parallelism | توازي على مستوى التعليمة | Паралелізм на рівні команд | 7 |
Q2164465 [CC | ] | speculative execution | 推测执行 | 投機的実行 | Exécution spéculative | Спекулятивное исполнение | اجرای پیش بینانه | Speculative execution | Спекулятивне виконання | 7 |
Q590170 [CC | ] | clock gating | Clock gating | 时钟门控 | 클럭 게이팅 | دروازه بندی ساعت | 7 |
Q911691 [CC | ] | clock rate | 时钟频率 | Fréquence d'horloge | Тактовая частота | 클럭 속도 | Clock rate | معدل ساعة (حاسوب) | Тактова частота | 7 |
Q217302 [CC | ] | application-specific integrated circuit | ASIC | Application-specific integrated circuit | 特殊應用積體電路 | Alkalmazásspecifikus integrált áramkör | مدارهای مجتمع با کاربرد خاص | 7 |
Q213092 [CC | ] | thread | 线程 | スレッド (コンピュータ) | Thread (computação) | Thread (informatique) | 스레드 (컴퓨팅) | Szál (programozás) | Thread (computing) | 7 |
Q2755335 [CC | ] | network processor | 网络处理器 | Netzwerkprozessor | Processeur réseau | Сетевой процессор | 네트워크 프로세서 | پردازندههای شبکهای | Network processor | 7 |
Q3269749 [CC | ] | 31-bit computing | 31ビット | 31-bit | 31 бит | 31 bites architektúra | 31-biter | 31-bit computing | معمارية 31 بت | 7 |
Q796829 [CC | ] | memory segmentation | セグメント方式 | Segmentierung (Speicherverwaltung) | Segmentación de memoria | Memóriaszegmentálás | Segment (datorterm) | Memory segmentation | Bellek segmentasyonu | 7 |
Q676838 [CC | ] | instruction control unit | 制御装置 | Unidade de controle | Unité de contrôle | Устройство управления | 제어 장치 | Control unit | Пристрій керування | 7 |
Q79726 [CC | ] | kilobyte | Kilobyte | Килобайт | كيلوبايت | 7 |
Q117879 [CC | ] | floating point | Vírgula flutuante | Число с плавающей запятой | 부동소수점 | محاسبات ممیز شناور | Flyttall | Floating-point arithmetic | Число з рухомою комою | 7 |
Q237794 [CC | ] | Intel 80386 | Intel 80386 | 80386 | اینتل ۸۰۳۸۶ | I386 | 7 |
Q1140061 [CC | ] | Xerox Alto | Xerox Alto | زیراکس آلتو | 7 |
Q203283 [CC | ] | Zilog Z8000 | Zilog Z8000 | 7 |
Q203262 [CC | ] | Zilog | Zilog | 7 |
Q16980 [CC | ] | ARM architecture | ARM架構 | Architecture ARM | ARM (архитектура) | ARM 아키텍처 | معماری آرم | ARM architecture family | 6 |
Q24895600 [CC | ] | AI accelerator | 人工智能加速器 | Puce d'accélération de réseaux de neurones | Нейронный процессор | AI 가속기 | شتابدهنده هوش مصنوعی | AI accelerator | 6 |
Q632037 [CC | ] | dynamic voltage scaling | 动态电压调节 | Ajustement dynamique de la tension | Динамическое изменение напряжения | 동적 전압 스케일링 | مقیاسبندی ولتاژ پویا | Dynamic voltage scaling | 6 |
Q183484 [CC | ] | graphics processing unit | 圖形處理器 | Grafikprozessor | Processeur graphique | Графический процессор | واحد پردازش گرافیکی | Graphics processing unit | 6 |
Q555032 [CC | ] | multi-core processor | 多核心處理器 | マルチコア | Microprocesseur multi-cœur | 멀티 코어 | پردازنده چندهستهای | Multi-core processor | 6 |
Q339338 [CC | ] | endianness | 字节序 | エンディアン | Boutisme | 엔디언 | اندیان | Endianness | 6 |
Q259864 [CC | ] | microarchitecture | Microarchitecture | 微架構 | Mikroarchitektur | Mikroarchitektúra | ریزمعماری | 6 |
Q814190 [CC | ] | instruction cycle | 指令周期 | Ciclo de instrução | 명령 주기 | چرخه دستورالعمل | Instruction cycle | Цикл виконання інструкцій | 6 |
Q165596 [CC | ] | cache | 缓存 | Mémoire cache | Кэш | Gyorsítótár | حافظه نهان (رایانش) | Cache (computing) | 6 |
Q204 [CC | ] | zero | 0 | Cero | 0 (numero) | 0 (szám) | 0 (عدد) | 6 |
Q189794 [CC | ] | OS/2 | OS/2 | 6 |
Q5295 [CC | ] | random-access memory | Random Access Memory | Mémoire vive | Ingatan capaian rawak | RAM | Muutmälu | ذاكرة الوصول العشوائي | 6 |
Q740943 [CC | ] | nibble | Nibble | ニブル | Półbajt | 6 |
Q193040 [CC | ] | embedded system | 組み込みシステム | Système embarqué | System wbudowany | Sistema incrustat | Sistem înglobat | Embedded system | 6 |
Q152433 [CC | ] | Xerox | Xerox | 6 |
Q4632106 [CC | ] | 256-bit computing | 256位元 | 256 бит | 256-biter | 256-bit computing | 256-бітова архітектура | 5 |
Q527464 [CC | ] | MIPS architecture | MIPS架構 | Architecture MIPS | MIPS 아키텍처 | معماری میپس | MIPS architecture | 5 |
Q17637401 [CC | ] | RISC-V | RISC-V | ریسک پنج | 5 |
Q1973327 [CC | ] | ROM image | ROM镜像 | Image ROM | 롬 이미지 | تصویر رام | ROM image | 5 |
Q273190 [CC | ] | SPARC | SPARC | Architecture SPARC | اسپارک | 5 |
Q621583 [CC | ] | application-specific instruction-set processor | ASIP | 专用指令集处理器 | پردازنده مجموعه دستورالعمل های خاص برنامه | Application-specific instruction set processor | 5 |
Q2006448 [CC | ] | stream processing | 串流處理 | Streamprozessor | 스트림 프로세싱 | پردازش جریان | Stream processing | 5 |
Q1377144 [CC | ] | binary multiplier | 乘法器 | Multiplieur | 곱셈기 | ضربکننده دودویی | Binary multiplier | 5 |
Q691169 [CC | ] | Task parallelism | 任务并行 | タスク並列性 | Task parallelism | توازي المهام | Паралелізм завдань | 5 |
Q1175867 [CC | ] | memory controller | 内存控制器 | Contrôleur mémoire | 메모리 컨트롤러 | کنترلگر حافظه | Memory controller | 5 |
Q376953 [CC | ] | binary adder | 加法器 | Additionneur | 가산기 | جمعکننده | Adder (electronics) | 5 |
Q2027240 [CC | ] | dynamic frequency scaling | 动态时钟频率调整 | Троттлинг | 동적 주파수 스케일링 | درجهبندی پویای بسامد | Dynamic frequency scaling | 5 |
Q163310 [CC | ] | Turing machine | 图灵机 | Machine de Turing | 튜링 기계 | ماشین تورینگ | Turing machine | 5 |
Q2740397 [CC | ] | stack machine | 堆疊結構機器 | Processeur basé sur la pile | 스택 머신 | ماشین پشتهای | Stack machine | 5 |
Q1303814 [CC | ] | processor design | 处理器设计 | Prozessorarchitektur | Architecture de processeur | طراحی پردازنده | Processor design | 5 |
Q742323 [CC | ] | back-side bus | Back-side bus | 後端匯流排 | Backside bus | Back side bus | 5 |
Q1365898 [CC | ] | execution unit | 执行单元 | Unité d'exécution | 실행 장치 | Execution unit | Функціональний блок процесора | 5 |
Q173156 [CC | ] | digital electronics | 数字电路 | Électronique numérique | 디지털 회로 | الکترونیک دیجیتال | Digital electronics | 5 |
Q176452 [CC | ] | finite-state machine | 有限状态机 | Automate fini | 유한 상태 기계 | ماشین حالات متناهی | Finite-state machine | 5 |
Q1151063 [CC | ] | scalar processor | 标量处理器 | Processeur scalaire | 스칼라 프로세서 | Scalar processor | Скалярний процесор | 5 |
Q808850 [CC | ] | barrel shifter | Barrel shifter | 桶式移位器 | 5 |
Q174925 [CC | ] | analog electronics | 模拟电路 | Électronique analogique | 아날로그 회로 | الکترونیک آنالوگ | Analogue electronics | 5 |
Q71486 [CC | ] | instructions per second | 每秒指令 | Instructions par seconde | 초당 명령 수 | دستورالعمل بر ثانیه | Instructions per second | 5 |
Q188768 [CC | ] | FLOPS | FLOPS | 每秒浮點運算次數 | 플롭스 | فلاپس | 5 |
Q1432354 [CC | ] | hardware security module | 硬件安全模块 | Hardware Security Module | 하드웨어 보안 모듈 | ماژول امنیتی سختافزاری | Hardware security module | 5 |
Q189401 [CC | ] | virtual memory | 虚拟内存 | Mémoire virtuelle | حافظه مجازی | Virtuellt minne | Virtual memory | 5 |
Q205663 [CC | ] | process | 行程 | Processus (informatique) | 프로세스 | Process (computing) | Процес (інформатика) | 5 |
Q1589327 [CC | ] | memory hierarchy | 記憶體階層 | Hiérarchie de mémoire | 메모리 계층 구조 | سلسلهمراتب حافظه | Memory hierarchy | 5 |
Q247299 [CC | ] | counter | 计数器 | Compteur | 계수기 | شمارنده | Counter (digital) | 5 |
Q859595 [CC | ] | coprocessor | 輔助處理器 | Coprocesseur | 코프로세서 | کمک پردازنده | Coprocessor | 5 |
Q170451 [CC | ] | logic gate | 邏輯閘 | Porte logique | 논리 회로 | دروازه منطقی | Logic gate | 5 |
Q5320 [CC | ] | electrical switch | 開關 | Interrupteur | 개폐기 | کلید (مدار) | Switch | 5 |
Q138875 [CC | ] | Apollo Guidance Computer | Apollo Guidance Computer | 阿波罗制导计算机 | 5 |
Q80831 [CC | ] | integrated circuit | 集成电路 | Circuit intégré | 집적 회로 | Circuito integrato | Integrated circuit | 5 |
Q1243369 [CC | ] | double-precision floating-point format | 雙精度浮點數 | Число двойной точности | فرمت نقطه شناور دقت دوگانه | Double-precision floating-point format | Формат рухомої коми з подвійною точністю | 5 |
Q2420752 [CC | ] | Zero instruction set computer | ZISC | 零指令集 | Zero instruction set computer | 5 |
Q1815901 [CC | ] | electronic circuit | 電子電路 | Circuit électronique | 전자 회로 | مدار الکترونیکی | Electronic circuit | 5 |
Q868014 [CC | ] | non-uniform memory access | 非均匀访存模型 | Non uniform memory access | 불균일 기억 장치 접근 | حافظه دسترسی غیریکپارچه | Non-uniform memory access | 5 |
Q4117663 [CC | ] | 60-bit computing | 60ビット | 60 бит | 60-biter | 60-bit computing | 60-بت | 5 |
Q79756 [CC | ] | kibibyte | Kibibyte | キビバイト | Kibibait | Кібібайт | 5 |
Q367183 [CC | ] | addressing mode | Adressierung (Rechnerarchitektur) | Mode d'adressage | حالت آدرسدهی | Addressing mode | Способи адресації пам'яті | 5 |
Q878691 [CC | ] | bit slicing | Bit-Slice | 비트 슬라이스 | بیت برش | Bit slicing | Секційний процесор | 5 |
Q7889 [CC | ] | video game | Jeu vidéo | Permainan video | 비디오 게임 | Videogioco | Videójáték | 5 |
Q10676 [CC | ] | Sega Genesis | Mega Drive | Sega Mega Drive | ميجا درايف | 5 |
Q922381 [CC | ] | minimal instruction set computer | Minimal instruction set computer | MISC | کامپیوتر با حداقل دستورالعمل | 5 |
Q2329233 [CC | ] | Transport triggered architecture | Transport triggered architecture | معماری راهاندازی حملونقل | 5 |
Q312 [CC | ] | Apple | Apple | Apple Inc. | أبل | 5 |
Q751046 [CC | ] | IBM PC compatible | Compatible IBM PC | IBM compatibile | IBM-kompatibilis PC | PC | IBM PC compatible | 5 |
Q75687 [CC | ] | Mac | Macintosh | ماكنتوش | 5 |
Q79735 [CC | ] | megabyte | Megabyte | Megabajt | Megabait | Мегабайт | 5 |
Q1369202 [CC | ] | NEC V20 | NEC V20 | 5 |
Q1279909 [CC | ] | Bit-level parallelism | Bit-level parallelism | موازیسازی بیتی | توازي على مستوى البت | Паралелізм бітового рівня | 5 |
Q621327 [CC | ] | No instruction set computing | NISC | No Instruction Set Computing | محاسبات بدون مجموعه دستورالعمل | No instruction set computing | 5 |
Q259011 [CC | ] | Motorola | Motorola | 4 |
Q916994 [CC | ] | IA-64 | IA-64 | 4 |
Q209860 [CC | ] | PowerPC | PowerPC | 파워PC | 4 |
Q515636 [CC | ] | FIFO | 先進先出演算法 | 선입 선출 | خروج به ترتیب ورود (رایانه و الکترونیک) | FIFO (computing and electronics) | 4 |
Q1424771 [CC | ] | Hazard | 冒险 (计算机系统结构) | مخاطره (معماری رایانه) | Hazard (computer architecture) | Конфлікти в конвеєрі | 4 |
Q180634 [CC | ] | distributed computing | 分布式计算 | Calcul distribué | 분산 컴퓨팅 | رایانش توزیعشده | 4 |
Q1570432 [CC | ] | semiconductor device fabrication | 半导体器件制造 | Fabrication des dispositifs à semi-conducteurs | ساخت ادوات نیمرسانا | Semiconductor device fabrication | 4 |
Q1994657 [CC | ] | half-precision floating-point format | 半精度浮点数 | Число половинной точности | Half-precision floating-point format | Число половинної точності | 4 |
Q16969336 [CC | ] | cooperative multitasking | 协作式多任务 | چند وظیفهای بدون قبضهای | Cooperative multitasking | Кооперативна багатозадачність | 4 |
Q1307173 [CC | ] | single-precision floating-point format | 單精度浮點數 | Число одинарной точности | Single-precision floating-point format | Число одинарної точності | 4 |
Q19851307 [CC | ] | Address generation unit | 地址生成单元 | واحد تولید آدرس | Address generation unit | Блок генерації адреси | 4 |
Q2791193 [CC | ] | baseband processor | 基頻處理器 | Processeur de bande de base | پردازنده باندپایه | Baseband processor | 4 |
Q1466268 [CC | ] | multi-chip module | 多晶片模組 | 멀티칩 모듈 | ماژول چند-تراشهای | Multi-chip module | 4 |
Q3698833 [CC | ] | secure cryptoprocessor | 安全加密協處理器 | Cryptoprocesseur sécurisé | رمزپردازنده ایمن | Secure cryptoprocessor | 4 |
Q426882 [CC | ] | clock signal | 定時器訊號 | Signal d'horloge | سیگنال ساعت | Clock signal | 4 |
Q1930388 [CC | ] | register machine | 寄存器机 | Machine à registres illimités | 레지스터 머신 | Register machine | 4 |
Q1457198 [CC | ] | system in package | 封裝體系 | System in package | سامانه در یک بسته | System in a package | 4 |
Q17111997 [CC | ] | heterogeneous computing | 异构计算 | Calcul hétérogène | 이기종 컴퓨팅 | Heterogeneous computing | 4 |
Q1025017 [CC | ] | cache coherence | 快取一致性 | Protocole de cohérence de cache | انسجام حافظه نهان | Cache coherence | 4 |
Q1937058 [CC | ] | Tomasulo algorithm | 托马苏洛算法 | Algorithme de Tomasulo | الگوریتم توماسولو | Tomasulo's algorithm | 4 |
Q1633673 [CC | ] | Datapath | 数据通路 | Chemin de données | مسیرداده | Datapath | 4 |
Q173245 [CC | ] | sequential logic | 时序逻辑电路 | Logique séquentielle | 순차 논리 | Sequential logic | 4 |
Q1443434 [CC | ] | instructions per cycle | 每周期指令 | Instructions par cycle | 클럭당 명령어 처리 횟수 | Instructions per cycle | 4 |
Q7833739 [CC | ] | Transactions per second | 每秒事务处理量 | 초당 트랜잭션 수 | تراکنش بر ثانیه | Transactions per second | 4 |
Q2928001 [CC | ] | pipeline stall | 流水线停顿 | Bulle (informatique) | حباب (رایانه) | Pipeline stall | 4 |
Q1541329 [CC | ] | mixed-signal integrated circuit | 混合訊號積體電路 | Circuit intégré à signaux mixtes | مدار مجتمع سیگنال آمیخته | Mixed-signal integrated circuit | 4 |
Q190411 [CC | ] | field-programmable gate array | 现场可编程逻辑门阵列 | FPGA | مدار مجتمع دیجیتال برنامهپذیر | Field-programmable gate array | 4 |
Q4437810 [CC | ] | Power management | 电源管理 | 전원 관리 | مدیریت نیرو | Power management | 4 |
Q5351510 [CC | ] | hardware register | 硬體暫存器 | 하드웨어 레지스터 | ثبات سختافزاری | Hardware register | 4 |
Q24705172 [CC | ] | vision processing unit | 视觉处理单元 | Процессор машинного зрения | واحد پردازش بینایی | Vision processing unit | 4 |
Q17008161 [CC | ] | cognitive computing | 認知計算 | 인지 컴퓨팅 | رایانش شناختی | Cognitive computing | 4 |
Q745243 [CC | ] | decoder | 译码器 | 복호화 | رمزگشا | Binary decoder | 4 |
Q2703890 [CC | ] | universal Turing machine | 通用圖靈機 | Machine de Turing universelle | ماشین تورینگ جهانی | Universal Turing machine | 4 |
Q765620 [CC | ] | quantum Turing machine | 量子圖靈機 | 양자 튜링 기계 | ماشین تورینگ کوانتومی | Quantum Turing machine | 4 |
Q2118982 [CC | ] | quantum gate | 量子閘 | Porte quantique | دروازههای منطقی کوانتومی | Quantum logic gate | 4 |
Q2565212 [CC | ] | random-access machine | 隨機存取機 | Random access machine | 랜덤 접근 기계 | Random-access machine | 4 |
Q1197550 [CC | ] | computer performance | 電腦性能 | 컴퓨터 성능 | کارآیی کامپیوتر | Computer performance | 4 |
Q66221 [CC | ] | computer data storage device | 電腦數據存貯器 | Mémoire (informatique) | ذخیرهسازی داده رایانه | Computer data storage | 4 |
Q3736059 [CC | ] | Explicit Data Graph Execution | Explicit Data Graph Execution | Explicit data graph execution | 4 |
Q3124522 [CC | ] | data parallelism | データ並列性 | Parallélisme de donnée | Data parallelism | Паралелізм даних | 4 |
Q218013 [CC | ] | binary file | バイナリ | Archivo binario | Fail perduaan | ملف ثنائي | 4 |
Q235557 [CC | ] | file format | ファイルフォーマット | Format fail | Format de fitxer | صيغة ملف | 4 |
Q898208 [CC | ] | Honeywell International, Inc. | Honeywell | Honeywell International | هانیول | 4 |
Q1028009 [CC | ] | TI MSP430 | TI MSP430 | MSP430 | 4 |
Q1505987 [CC | ] | Western Design Center | Western Design Center | مركز التصميم الغربي | 4 |
Q1172415 [CC | ] | dataflow architecture | Architecture Dataflow | معماری جریان داده | Dataflow architecture | Архітектура потоків даних | 4 |
Q4134229 [CC | ] | Data General Nova | Data General Nova | 4 |
Q331911 [CC | ] | data buffer | Mémoire tampon | 버퍼 (컴퓨터 과학) | حافظه میانگیر | Data buffer | 4 |
Q1369857 [CC | ] | chip carrier | Plastic Leaded Chip Carrier | Plastic leaded chip carrier | Типы корпусов процессоров | Chip carrier | 4 |
Q25106376 [CC | ] | tensor processing unit | Tensor Processing Unit | Тензорный процессор Google | واحد پردازشی تنسور | 4 |
Q1172222 [CC | ] | Data General | Data General | 4 |
Q1060555 [CC | ] | IMP-16 | IMP-16 | 4 |
Q8076 [CC | ] | video game console | Konsol permainan video | Consola de joc | Console (videogiochi) | Mängukonsool | 4 |
Q4632344 [CC | ] | 26-bit computing | 26 бит | 26 bit | 26-biter | 26-bit computing | 4 |
Q4181187 [CC | ] | barrel processor | Barrel processor | Барабанный процессор | پردازنده بشکهای | 4 |
Q7698927 [CC | ] | temporal multithreading | 시간적 멀티스레딩 | چندرشته زمانی | Temporal multithreading | Часова багатопотоковість | 4 |
Q3501111 [CC | ] | modified Harvard architecture | معماری هاروارد بهبودیافته | Modified Harvard architecture | بنية هارفارد المعدلة | Модифікована Гарвардська архітектура | 4 |
Q32738 [CC | ] | microcomputer | Mikroarvuti | Microcomputer | Мікрокомп'ютер | Mikrobilgisayar | 4 |
Q1208624 [CC | ] | 32-bit application | 32位元應用程式 | 32ビットアプリケーション | برامج 32-بت | 3 |
Q229370 [CC | ] | three-dimensional integrated circuit | 三維晶片 | 3차원 집적 회로 | Three-dimensional integrated circuit | 3 |
Q1640617 [CC | ] | PSoC | 可编程片上系统 | PSoC | Cypress PSoC | 3 |
Q846651 [CC | ] | multiprocessing | 多元處理 | چندپردازشی | Multiprocessing | 3 |
Q3375344 [CC | ] | performance per watt | 效能功耗比 | 전성비 | Performance per watt | 3 |
Q334630 [CC | ] | data dependency | 数据依赖 | وابستگی داده | Data dependency | 3 |
Q2623746 [CC | ] | transistor count | 晶體管數量 | عدد ترانزیستور | Transistor count | 3 |
Q2574032 [CC | ] | Post–Turing machine | 波斯特-图灵机 | ماشین پست-تورینگ | Post–Turing machine | 3 |
Q600158 [CC | ] | hardware acceleration | 硬件加速 | شتابدهنده سختافزاری | Hardware acceleration | 3 |
Q189156 [CC | ] | cellular automaton | 細胞自動機 | Automate cellulaire | Cellular automaton | 3 |
Q76505 [CC | ] | combinational logic | 组合逻辑电路 | 조합 논리 | Combinational logic | 3 |
Q1063858 [CC | ] | complex programmable logic device | 複雜可程式化邏輯裝置 | 복합 프로그래머블 논리 소자 | Complex programmable logic device | 3 |
Q6815651 [CC | ] | Memory-level parallelism | 記憶體層級平行 | Memory-level parallelism | Паралелізм рівня пам'яті | 3 |
Q183380 [CC | ] | memory buffer register | 記憶體資料寄存器 | Registre Tampon Mémoire | Memory buffer register | 3 |
Q7879073 [CC | ] | counter machine | 计数器机 | Machine à compteurs | Counter machine | 3 |
Q2651576 [CC | ] | model of computation | 计算模型 (数学) | مدل محاسبه | Model of computation | 3 |
Q3842021 [CC | ] | random-access stored-program machine | 隨機存取儲存程式機 | ماشین برنامه ذخیرهشده با دسترسی تصادفی | Random-access stored-program machine | 3 |
Q7236367 [CC | ] | Power management integrated circuit | 電源管理IC | مدار مجتمع مدیریت نیرو | Power management integrated circuit | 3 |
Q740701 [CC | ] | UTF-16 | UTF-16 | 3 |
Q9135 [CC | ] | operating system | オペレーティングシステム | Betriebssystem | Sistema operativo | 3 |
Q67185243 [CC | ] | instruction set | 命令セット | Conjunto de instruções | Jeu d'instructions | 3 |
Q522906 [CC | ] | Atanasoff–Berry Computer | Atanasoff–Berry Computer | 아타나소프-베리 컴퓨터 | 3 |
Q222419 [CC | ] | Z3 | Z3 | Zuse 3 | Z3 (컴퓨터) | 3 |
Q1022631 [CC | ] | C166 family | C166 | C166 család | C166 family | 3 |
Q13404475 [CC | ] | cache algorithm | Algorithme de mise en cache | الگوریتم حافظه پنهان | Cache replacement policies | 3 |
Q7271583 [CC | ] | Queue automaton | Automate à file | ماشین صف | Queue automaton | 3 |
Q837479 [CC | ] | Boolean circuit | Circuit booléen | مدار بولی | Boolean circuit | 3 |
Q858065 [CC | ] | DEC Alpha | DEC Alpha | DEC 알파 | 3 |
Q937498 [CC | ] | Motorola 68000 family | Famille Motorola 68000 | Motorola 68k | Motorola 68000 series | 3 |
Q2661997 [CC | ] | hypercomputation | Hypercalcul | 하이퍼 계산 | Hypercomputation | 3 |
Q21198 [CC | ] | computer science | Informatica | Informatique | 3 |
Q2535967 [CC | ] | IAS machine | Machine IAS | IAS 머신 | IAS-машина | 3 |
Q1322850 [CC | ] | status register | Registre d'état | ثبات وضعیت | Status register | 3 |
Q339554 [CC | ] | Network On Chip | Réseau sur une puce | شبکه درون یک تراشه | Network on a chip | 3 |
Q18359045 [CC | ] | SIMT | SIMT | یک دستور چند رشته | Single instruction, multiple threads | 3 |
Q1205020 [CC | ] | SuperH | SuperH | 슈퍼H | 3 |
Q960616 [CC | ] | VAX | VAX | 3 |
Q5227175 [CC | ] | Data General Eclipse | Data General Eclipse | 3 |
Q863675 [CC | ] | Freescale Semiconductor | Freescale | Freescale Semiconductor | فریاسکیل | 3 |
Q1428568 [CC | ] | IBM System/36 | IBM System/36 | 3 |
Q7233 [CC | ] | Lockheed Corporation | Lockheed Corporation | 3 |
Q916240 [CC | ] | Motorola 68020 | Motorola 68020 | 3 |
Q767710 [CC | ] | Texas Instruments TMS9900 | Texas Instruments TMS9900 | 3 |
Q648266 [CC | ] | Wayback Machine | Wayback Machine | 3 |
Q663715 [CC | ] | General Instrument CP1600 | General Instrument CP1600 | 3 |
Q5249173 [CC | ] | decimal floating point | Десятичное число с плавающей запятой | Decimal floating point | Десяткове число з рухомою комою | 3 |
Q25047934 [CC | ] | single-core processor | Single-core | 싱글 코어 | 3 |
Q49125 [CC | ] | Toshiba | Toshiba | 3 |
Q1413683 [CC | ] | Whirlwind | Whirlwind I | Whirlwind (computer) | 3 |
Q3510146 [CC | ] | Intel MCS-96 | Intel MCS-96 | 3 |
Q1215797 [CC | ] | National Semiconductor PACE | National Semiconductor PACE | 3 |
Q2289219 [CC | ] | SPMD | یک برنامه چند داده | Single program, multiple data | SPMD | 3 |
Q183259 [CC | ] | Super Nintendo Entertainment System | Super Nintendo Entertainment System | 3 |
Q1366099 [CC | ] | Tick–tock model | Intel Tick-Tock | Tick–tock model | 2 |
Q5432732 [CC | ] | False sharing | 伪共享 | False sharing | 2 |
Q1088863 [CC | ] | reservation station | 保留站 | Reservation station | 2 |
Q1936765 [CC | ] | uniform memory access | 均匀访存模型 | Uniform memory access | 2 |
Q3676413 [CC | ] | cycles per instruction | 指令平均周期数 | Cycles per instruction | 2 |
Q3646603 [CC | ] | Re-order buffer | 重排序缓冲区 | Re-order buffer | 2 |
Q2122210 [CC | ] | quantum circuit | 量子線路 | Quantum circuit | 2 |
Q1184967 [CC | ] | 16-bit application | 16ビットアプリケーション | برامج 16-بت | 2 |
Q6583973 [CC | ] | binary prefix | 2進接頭辞 | Bináris prefixum | 2 |
Q1072083 [CC | ] | Windows Metafile | Windows Metafile | Metaarchivo de Windows | 2 |
Q1018715 [CC | ] | byte addressing | バイトアドレス | Byte addressing | 2 |
Q690110 [CC | ] | color depth | 色深度 | Profundidad de color | 2 |
Q1860 [CC | ] | English | 英語 | زبان انگلیسی | 2 |
Q911952 [CC | ] | NX bit | Bit NX | NX 비트 | 2 |
Q182313 [CC | ] | ternary computer | Computador ternário | 3진법 컴퓨터 | 2 |
Q3716831 [CC | ] | double word | Dword | 2 |
Q104851 [CC | ] | firmware | Firmware | 펌웨어 | 2 |
Q118155 [CC | ] | pointer | Ponteiro (programação) | Puntatore (programmazione) | 2 |
Q1314879 [CC | ] | Processor | Processador | Procesor | 2 |
Q3510521 [CC | ] | computer security | Segurança de computadores | 컴퓨터 보안 | 2 |
Q565 [CC | ] | Wikimedia Commons | Wikimedia Commons | 2 |
Q28838634 [CC | ] | 16 Bit | 16 Bit | 16-bit (disambiguation) | 2 |
Q40056 [CC | ] | computer program | Computerprogramm | 컴퓨터 프로그램 | 2 |
Q473708 [CC | ] | home computer | Heimcomputer | Home computer | 2 |
Q170434 [CC | ] | DOS | PC-kompatibles DOS | DOS | 2 |
Q384656 [CC | ] | Amiga 500 | Amiga 500 | 2 |
Q627302 [CC | ] | Atari ST | Atari ST | 2 |
Q837528 [CC | ] | deterministic finite automata | Automate fini déterministe | Deterministic finite automaton | 2 |
Q2928673 [CC | ] | data bus | Bus de données | Szyna danych | 2 |
Q2967178 [CC | ] | Microprocessor chronology | Chronologie des microprocesseurs | Microprocessor chronology | 2 |
Q2246273 [CC | ] | CPU multiplier | Coefficient multiplicateur (informatique) | CPU multiplier | 2 |
Q47506 [CC | ] | compiler | Compilateur | Fordítóprogram | 2 |
Q1361373 [CC | ] | IBM S/390 | IBM System/390 | 2 |
Q17995793 [CC | ] | quantum computing | Informatique quantique | Quantum computing | 2 |
Q6652737 [CC | ] | Little man computer | Little man computer | 2 |
Q255528 [CC | ] | MOS Technology 6502 | MOS Technology 6502 | 2 |
Q787114 [CC | ] | abstract machine | Machine abstraite | Abstract machine | 2 |
Q438833 [CC | ] | Alternating Turing machine | Machine de Turing alternante | Alternating Turing machine | 2 |
Q1190223 [CC | ] | non-deterministic Turing machine | Machine de Turing non déterministe | Nondeterministic Turing machine | 2 |
Q1191836 [CC | ] | probabilistic Turing machine | Machine de Turing probabiliste | Probabilistic Turing machine | 2 |
Q1137478 [CC | ] | Macintosh 128K | Macintosh 128K | 2 |
Q130985 [CC | ] | pin grid array | Matrice de broches | Pin grid array | 2 |
Q1644704 [CC | ] | MicroBlaze | Microblaze | MicroBlaze | 2 |
Q1024934 [CC | ] | Motorola 68010 | Motorola 68010 | 2 |
Q1092481 [CC | ] | OpenRISC | OpenRISC | 2 |
Q1134867 [CC | ] | stored-program computer | Ordinateur à programme enregistré | Stored-program computer | 2 |
Q861004 [CC | ] | image processor | Processeur d'images | Image processor | 2 |
Q2495986 [CC | ] | Soft microprocessor | Processeur softcore | Soft microprocessor | 2 |
Q583538 [CC | ] | memory address register | Registre d'Adresse Mémoire | Memory address register | 2 |
Q3460296 [CC | ] | SWAR | SWAR | 2 |
Q1758277 [CC | ] | Sharp X68000 | Sharp X68000 | 2 |
Q178677 [CC | ] | symmetric multiprocessing | Symmetric multiprocessing | 대칭형 다중 처리 | 2 |
Q8062893 [CC | ] | z/Architecture | System z | Z/Architecture | 2 |
Q203315 [CC | ] | Zilog Z80 | Zilog Z80 | 2 |
Q118455746 [CC | ] | authority control | Control de autoridades | Нарматыўны кантроль | 2 |
Q1141665 [CC | ] | dual in-line package | Dual in-line package | 2 |
Q1616633 [CC | ] | HP 2100 | HP 2100 | 2 |
Q3146513 [CC | ] | IBM 1130 | IBM 1130 | 2 |
Q311394 [CC | ] | Infineon Technologies | Infineon | اینفینئون | 2 |
Q4413527 [CC | ] | x86 memory segmentation | Segmentación de memoria del x86 | X86 memory segmentation | 2 |
Q1197310 [CC | ] | Wintel | Wintel | 2 |
Q87906720 [CC | ] | 45-bit computing | 45 бит | 45-bit computing | 2 |
Q1501636 [CC | ] | General Instrument | General Instrument | 2 |
Q4019178 [CC | ] | MCP-1600 | MCP-1600 | 2 |
Q159614 [CC | ] | Seiko Epson | Seiko Epson | Epson | 2 |
Q2384809 [CC | ] | TRIPS architecture | TRIPS (архитектура процессора) | TRIPS architecture | 2 |
Q5421900 [CC | ] | extended precision | Расширенная точность | Extended precision | 2 |
Q962365 [CC | ] | precision | Точность (информатика) | Precision (computer science) | 2 |
Q448573 [CC | ] | quadruple-precision floating-point format | Число четверной точности | Quadruple-precision floating-point format | 2 |
Q6887219 [CC | ] | mobile processor | 모바일 프로세서 | Mobile processor | 2 |
Q5867906 [CC | ] | history of general purpose CPUs | 범용 CPU의 역사 | History of general-purpose CPUs | 2 |
Q390389 [CC | ] | Itanium | 아이테니엄 | ایتانیوم | 2 |
Q1616181 [CC | ] | Heterogeneous System Architecture | 이기종 시스템 아키텍처 | Heterogeneous System Architecture | 2 |
Q367037 [CC | ] | Address decoder | 주소 디코더 | Address decoder | 2 |
Q1282269 [CC | ] | Apple IIGS | Apple IIGS | 2 |
Q185131 [CC | ] | minicomputer | Minicomputer | Minidator | 2 |
Q4029292 [CC | ] | 1801 series CPU | 1801-es processzorcsalád | 1801 series CPU | 2 |
Q5204784 [CC | ] | DEC J-11 | DEC J-11 | 2 |
Q5204791 [CC | ] | DEC T-11 | DEC T-11 | 2 |
Q5324414 [CC | ] | eSi-RISC | ESi-RISC | 2 |
Q3268793 [CC | ] | 68HC12 | Freescale 68HC12 | Motorola 68HC12 | 2 |
Q4117647 [CC | ] | 68HC16 | Freescale 68HC16 | Motorola 68HC16 | 2 |
Q8041761 [CC | ] | XE166 family | XE166 család | XE166 family | 2 |
Q324610 [CC | ] | Intel 8080 | اینتل ۸۰۸۰ | Intel 8080 | 2 |
Q7595963 [CC | ] | stack register | ثبات پشتهای | Stack register | 2 |
Q114901 [CC | ] | gate array | دروازه آرایه | Gate array | 2 |
Q7309357 [CC | ] | Register memory architecture | معماری حافظه ثبات | Register–memory architecture | 2 |
Q386953 [CC | ] | Cellular architecture | معماری سلولی | Cellular architecture | 2 |
Q1981312 [CC | ] | neuromorphic engineering | نورومورفیک | Neuromorphic engineering | 2 |
Q25325220 [CC | ] | many-core processor | پردازندههای بیش هستهای | Manycore processor | 2 |
Q109883811 [CC | ] | Memory dependence prediction | پیشبینی وابستگی به حافظه | Memory dependence prediction | 2 |
Q49108 [CC | ] | Massachusetts Institute of Technology | Massachusetts Institute of Technology | Massachusettsi Tehnoloogiainstituut | 2 |
Q3913 [CC | ] | binary numeral system | 二進制 | Binary number | 2 |
Q4632674 [CC | ] | 28-bit computing | 28-bit computing | 28-بت | 2 |
Q21074989 [CC | ] | Comparison of instruction set architectures | Comparison of instruction set architectures | Порівняння архітектур систем команд | 2 |
Q178778 [CC | ] | Ricoh | Ricoh | 2 |
Q22169 [CC | ] | GNU Free Documentation License | GNU自由文档许可证 | 1 |
Q108443655 [CC | ] | LoongArch | LoongArch | 1 |
Q1133567 [CC | ] | Win32s | Win32s | 1 |
Q242028 [CC | ] | lambda calculus | Λ演算 | 1 |
Q1074289 [CC | ] | frequency multiplier | 倍頻器 | 1 |
Q2283 [CC | ] | Microsoft | 微软 | 1 |
Q1330967 [CC | ] | page replacement algorithm | 快取文件置換機制 | 1 |
Q2235486 [CC | ] | control bus | 控制匯流排 | 1 |
Q1481571 [CC | ] | combinatory logic | 组合子逻辑 | 1 |
Q960379 [CC | ] | Free On-line Dictionary of Computing | 自由線上電腦詞典 | 1 |
Q284164 [CC | ] | μ-recursive function | 递归函数 | 1 |
Q116214244 [CC | ] | 16bit Sensation | 16bitセンセーション | 1 |
Q1046656 [CC | ] | Graphics Device Interface | Graphics Device Interface | 1 |
Q863568 [CC | ] | IBM PC DOS | IBM PC DOS | 1 |
Q58378 [CC | ] | Windows 1.0 | Microsoft Windows 1.0 | 1 |
Q737508 [CC | ] | Windows 3.x | Microsoft Windows 3.x | 1 |
Q8819 [CC | ] | The Unicode® Standard | Unicode | 1 |
Q107428 [CC | ] | kilo | キロ | 1 |
Q232469 [CC | ] | Core | コア | 1 |
Q86920 [CC | ] | text file | テキストファイル | 1 |
Q1018724 [CC | ] | byte order mark | バイト順マーク | 1 |
Q425936 [CC | ] | symmetric multiprocessor system | ヘテロジニアスマルチコア | 1 |
Q170130 [CC | ] | vector graphics | ベクター画像 | 1 |
Q25304395 [CC | ] | CircuitMaker | マイクロコード | 1 |
Q79758 [CC | ] | mebibyte | メビバイト | 1 |
Q7675224 [CC | ] | Tagged architecture | Arquitetura etiquetada | 1 |
Q520777 [CC | ] | boolean data type | Boolean | 1 |
Q863823 [CC | ] | Cell | Cell (microprocessador) | 1 |
Q184759 [CC | ] | character encoding | Codificação de caracteres | 1 |
Q3044484 [CC | ] | demultiplexer | Demultiplexador | 1 |
Q34945 [CC | ] | Grumman F-14 Tomcat | Grumman F-14 | 1 |
Q1575058 [CC | ] | handle | Handle (informática) | 1 |
Q3966 [CC | ] | computer hardware | Hardware | 1 |
Q776823 [CC | ] | Harvard Mark I | Harvard Mark I | 1 |
Q18207756 [CC | ] | Hextet | Hexteto | 1 |
Q10333134 [CC | ] | No label in en! | Multitarefa cooperativa | 1 |
Q485257 [CC | ] | analytical engine | Máquina analítica | 1 |
Q208163 [CC | ] | signal processing | Processamento de sinal | 1 |
Q1334113 [CC | ] | reference data type | Referência (ciência da computação) | 1 |
Q1056486 [CC | ] | ternary numeral system | Sistema de numeração ternário | 1 |
Q190087 [CC | ] | data type | Tipo de dado | 1 |
Q744862 [CC | ] | Trusted Execution Technology | Trusted Execution Technology | 1 |
Q11661 [CC | ] | information technology | Informační technologie | 1 |
Q34698 [CC | ] | adjective | Přídavné jméno | 1 |
Q82042 [CC | ] | part of speech | Slovní druh | 1 |
Q9056 [CC | ] | Czech | Čeština | 1 |
Q63116 [CC | ] | numeral | Číslovka | 1 |
Q369664 [CC | ] | Expanded memory | Expanded Memory Specification | 1 |
Q1143380 [CC | ] | Extended memory | Extended Memory Specification | 1 |
Q623187 [CC | ] | Honeywell 316 | Honeywell H316 | 1 |
Q1626885 [CC | ] | Honeywell Series 16 | Honeywell Serie 16 | 1 |
Q852174 [CC | ] | MOS Technology | MOS Technology | 1 |
Q2304130 [CC | ] | No label in en! | Soundprozessor | 1 |
Q14656 [CC | ] | Unix-like operating system | Unixoides System | 1 |
Q1632976 [CC | ] | No label in en! | Verarbeitungsbreite | 1 |
Q113883114 [CC | ] | X86 processor | X86-Prozessor | 1 |
Q338702 [CC | ] | accelerated processing unit | Accelerated processing unit | 1 |
Q173183 [CC | ] | boolean algebra | Algèbre de Boole (logique) | 1 |
Q17152858 [CC | ] | semiconductor package | Boîtier de circuit intégré | 1 |
Q629971 [CC | ] | asynchronous circuit | Circuit asynchrone | 1 |
Q173350 [CC | ] | printed circuit board | Circuit imprimé | 1 |
Q1186179 [CC | ] | synchronous circuit | Circuit synchrone | 1 |
Q1077724 [CC | ] | execution | Exécution (informatique) | 1 |
Q220543 [CC | ] | queue | File (structure de données) | 1 |
Q11631986 [CC | ] | logical operator | Fonction logique | 1 |
Q925783 [CC | ] | instruction | Instruction informatique | 1 |
Q33057 [CC | ] | International Standard Book Number | International Standard Book Number | 1 |
Q650601 [CC | ] | Atari Jaguar | Jaguar (console de jeux vidéo) | 1 |
Q3256560 [CC | ] | No label in en! | Lithographie en immersion | 1 |
Q1662581 [CC | ] | information model | Modèle (informatique) | 1 |
Q1545600 [CC | ] | Motorola 6809 | Motorola 6809 | 1 |
Q3822650 [CC | ] | multiprocessor system | Multiprocesseur | 1 |
Q752700 [CC | ] | Asymmetric multiprocessing | Multitraitement asymétrique | 1 |
Q192969 [CC | ] | multitasking | Multitâche | 1 |
Q64428080 [CC | ] | Neo Geo AES | Neo-Geo AES | 1 |
Q215969 [CC | ] | overclocking | Overclocking | 1 |
Q3406354 [CC | ] | autosynchronous processor | Processeur autosynchrone | 1 |
Q746165 [CC | ] | shift register | Registre à décalage | 1 |
Q2377218 [CC | ] | systolic array | Réseau systolique | 1 |
Q122741 [CC | ] | Sega | Sega | 1 |
Q11456 [CC | ] | semiconductor | Semi-conducteur | 1 |
Q816747 [CC | ] | benchmark | Test de performance | 1 |
Q5339 [CC | ] | transistor | Transistor | 1 |
Q1061927 [CC | ] | dynamic memory allocation | Asignación de memoria | 1 |
Q11223795 [CC | ] | IBM 1800 Data Acquisition and Control System | IBM 1800 | 1 |
Q1351277 [CC | ] | Motorola 68008 | Motorola 68008 | 1 |
Q378761 [CC | ] | Motorola 68030 | Motorola 68030 | 1 |
Q129585 [CC | ] | fourth generation of video game consoles | Videoconsolas de cuarta generación | 1 |
Q2013 [CC | ] | Wikidata | Wikidata | 1 |
Q3856996 [CC | ] | XAP processor | XAP (procesador) | 1 |
Q4027615 [CC | ] | informatics | Informatika | 1 |
Q128896 [CC | ] | AMD | Advanced Micro Devices | 1 |
Q188674 [CC | ] | programmable logic controller | Programowalny sterownik logiczny | 1 |
Q507313 [CC | ] | Zilog Z800 | Zilog Z800 | 1 |
Q2426 [CC | ] | 1988 | 1988 | 1 |
Q2068 [CC | ] | 1995 | 1995 | 1 |
Q1335007 [CC | ] | embedded software | Perisian terbenam | 1 |
Q6322585 [CC | ] | K-202 | K-202 | 1 |
Q17491536 [CC | ] | TrueNorth | TrueNorth | 1 |
Q420105 [CC | ] | accumulator | Аккумулятор (регистр процессора) | 1 |
Q1616095 [CC | ] | hardware virtualization | Аппаратная виртуализация | 1 |
Q4157708 [CC | ] | demultiplexer | Демультиплексор | 1 |
Q1661183 [CC | ] | index register | Индексный регистр | 1 |
Q16656613 [CC | ] | K1801VM1 | К1801ВМ1 | 1 |
Q65172078 [CC | ] | No label in en! | Матричный процессор | 1 |
Q11140433 [CC | ] | primary memory | Оперативная память | 1 |
Q13566977 [CC | ] | Instruction prefetch | Предвыборка кода | 1 |
Q2600316 [CC | ] | FLAGS register | Регистр флагов | 1 |
Q401517 [CC | ] | Register window | Регистровое окно | 1 |
Q177126 [CC | ] | DNA computing | DNA 컴퓨팅 | 1 |
Q204833 [CC | ] | Enhanced Data Rates for GSM Evolution | EDGE | 1 |
Q469599 [CC | ] | input–output memory management unit | IOMMU | 1 |
Q113585 [CC | ] | PA-RISC | PA-RISC | 1 |
Q176541 [CC | ] | optical computer | 광 컴퓨터 | 1 |
Q2539 [CC | ] | machine learning | 기계 학습 | 1 |
Q830445 [CC | ] | northbridge | 노스브리지 | 1 |
Q197536 [CC | ] | deep learning | 딥 러닝 | 1 |
Q212923 [CC | ] | memristor | 멤리스터 | 1 |
Q2738570 [CC | ] | mobile computing | 모바일 컴퓨팅 | 1 |
Q175805 [CC | ] | semiconductor device | 반도체 소자 | 1 |
Q128392 [CC | ] | concurrent computing | 병행 컴퓨팅 | 1 |
Q294924 [CC | ] | Bulldozer | 불도저 (마이크로아키텍처) | 1 |
Q585208 [CC | ] | southbridge | 사우스브리지 | 1 |
Q11205 [CC | ] | arithmetic | 산술 | 1 |
Q1140366 [CC | ] | Trusted Platform Module | 신뢰 플랫폼 모듈 | 1 |
Q63759 [CC | ] | analog computer | 아날로그 컴퓨터 | 1 |
Q176555 [CC | ] | quantum computer | 양자 컴퓨터 | 1 |
Q541265 [CC | ] | ubiquitous computing | 유비쿼터스 컴퓨팅 | 1 |
Q844006 [CC | ] | channel I/O | 입출력 채널 | 1 |
Q1334829 [CC | ] | wearable computer | 착용 컴퓨터 | 1 |
Q483639 [CC | ] | cloud computing | 클라우드 컴퓨팅 | 1 |
Q173451 [CC | ] | transistor–transistor logic | 트랜지스터-트랜지스터 논리 | 1 |
Q512980 [CC | ] | Power Architecture | 파워 아키텍처 | 1 |
Q272695 [CC | ] | front-side bus | 프론트 사이드 버스 | 1 |
Q1341206 [CC | ] | hybrid computer | 하이브리드 컴퓨터 | 1 |
Q100047 [CC | ] | Commodore Amiga | Amiga | 1 |
Q1315930 [CC | ] | PC-1512 | Amstrad PC1512 | 1 |
Q371482 [CC | ] | Apple Lisa | Apple Lisa | 1 |
Q3640478 [CC | ] | No label in en! | Bit (rivista) | 1 |
Q219528 [CC | ] | CP/M | CP/M | 1 |
Q99775 [CC | ] | Commodore 64 | Commodore 64 | 1 |
Q324603 [CC | ] | Compaq | Compaq | 1 |
Q3962 [CC | ] | laptop | Computer portatile | 1 |
Q1049628 [CC | ] | DOS/V | DOS/V | 1 |
Q531896 [CC | ] | FM Towns | FM Towns | 1 |
Q186394 [CC | ] | Fujitsu | Fujitsu | 1 |
Q17 [CC | ] | Japan | Giappone | 1 |
Q1141670 [CC | ] | IBM Personal Computer/AT | IBM AT | 1 |
Q131276 [CC | ] | International Standard Serial Number | ISSN | 1 |
Q82772 [CC | ] | kanji | Kanji | 1 |
Q5287 [CC | ] | Japanese | Lingua giapponese | 1 |
Q53257 [CC | ] | Mitsubishi Electric | Mitsubishi Electric | 1 |
Q183505 [CC | ] | NEC PC-9800 series | NEC PC-9801 | 1 |
Q786947 [CC | ] | Oki Electric Industry | Oki Electric Industry | 1 |
Q202712 [CC | ] | IBM Personal Computer | PC IBM | 1 |
Q3928093 [CC | ] | quadruple word | Qword | 1 |
Q3021204 [CC | ] | Retro Gamer | Retro Gamer | 1 |
Q1854071 [CC | ] | Sharp MZ | Sharp MZ | 1 |
Q602253 [CC | ] | Sinclair QL | Sinclair QL | 1 |
Q454390 [CC | ] | Texas Instruments TI-99/4A | Texas Instruments TI-99/4A | 1 |
Q609733 [CC | ] | Windows 9x | Windows 9x | 1 |
Q486487 [CC | ] | Windows NT | Windows NT | 1 |
Q2479 [CC | ] | 1975 | 1975 | 1 |
Q56411485 [CC | ] | No label in en! | A mikroprocesszor története | 1 |
Q5374944 [CC | ] | EnSilica | EnSilica | 1 |
Q2151621 [CC | ] | energy industry | Energiagazdálkodás | 1 |
Q828287 [CC | ] | IEEE 754 | IEEE lebegőpontos számformátum | 1 |
Q461 [CC | ] | Internet Archive | Internet Archive | 1 |
Q272035 [CC | ] | accuracy and precision | Pontosság és precizitás | 1 |
Q220764 [CC | ] | interrupt | Programmegszakítás | 1 |
Q716676 [CC | ] | class of computers | Számítógéposztályok | 1 |
Q81365 [CC | ] | decimal | Tízes számrendszer | 1 |
Q177929 [CC | ] | stack | Verem (adatszerkezet) | 1 |
Q272629 [CC | ] | x86-64 | X86-64 | 1 |
Q31888920 [CC | ] | Ice Lake | آیس لیک | 1 |
Q262238 [CC | ] | IA-32 | آیای-۳۲ | 1 |
Q22074755 [CC | ] | Stratix | استراتیکس | 1 |
Q324536 [CC | ] | Intel Atom | اینتل اتم | 1 |
Q19481932 [CC | ] | Intel Core | اینتل کور | 1 |
Q216334 [CC | ] | Core 2 | اینتل کور ۲ | 1 |
Q205509 [CC | ] | Intel 4004 | اینتل ۴۰۰۴ | 1 |
Q330255 [CC | ] | Intel 4040 | اینتل ۴۰۴۰ | 1 |
Q319509 [CC | ] | Intel 8008 | اینتل ۸۰۰۸ | 1 |
Q468451 [CC | ] | Intel 8085 | اینتل ۸۰۸۵ | 1 |
Q1632017 [CC | ] | Intel 8087 | اینتل ۸۰۸۷ | 1 |
Q1665604 [CC | ] | Intel HD Graphics | تکنولوژی گرافیک اینتل | 1 |
Q16052813 [CC | ] | No label in en! | حافظه (رایانه) | 1 |
Q276582 [CC | ] | binary-coded decimal | دهدهی به رمز دودویی | 1 |
Q1049168 [CC | ] | Xeon Phi | زئون فای | 1 |
Q271868 [CC | ] | Celeron | سلرون | 1 |
Q278624 [CC | ] | Sandy Bridge | سندی بریج | 1 |
Q869122 [CC | ] | 65 nm lithography process | فرایند ۶۵ نانومتر | 1 |
Q1051638 [CC | ] | 90 nm lithography process | فرایند ۹۰ نانومتر | 1 |
Q1050761 [CC | ] | 350 nm lithography process | فرایند۳۵۰ نانومتر | 1 |
Q376327 [CC | ] | list of Intel Core i7 microprocessors | فهرست ریزپردازندههای اینتل کور آی-۷ | 1 |
Q18716331 [CC | ] | list of Intel Core M microprocessors | فهرست ریزپردازندههای اینتل کور ام | 1 |
Q6431942 [CC | ] | UML state machine | ماشین حالت یو.ام.ال | 1 |
Q178674 [CC | ] | nanometre | نانومتر | 1 |
Q53700422 [CC | ] | Whiskey Lake | ویسکی لیک | 1 |
Q328 [CC | ] | English Wikipedia | ویکیپدیای انگلیسی | 1 |
Q214314 [CC | ] | Pentium | پنتیوم | 1 |
Q628189 [CC | ] | Pentium Pro | پنتیوم پرو | 1 |
Q237757 [CC | ] | Pentium 4 | پنتیوم ۴ | 1 |
Q27995423 [CC | ] | Coffee Lake | کافی لیک | 1 |
Q20671116 [CC | ] | Kaby Lake | کبی لیک | 1 |
Q1324219 [CC | ] | Gulftown | گلفتاون (پردازنده) | 1 |
Q471089 [CC | ] | Amiga 1000 | Amiga 1000 | 1 |
Q471102 [CC | ] | Amiga 2000 | Amiga 2000 | 1 |
Q471158 [CC | ] | Amiga 600 | Amiga 600 | 1 |
Q951059 [CC | ] | IEEE 754-2008 revision | IEEE 754-2008 | 1 |
Q748010 [CC | ] | North American Aerospace Defense Command | North American Aerospace Defense Command | 1 |
Q177234 [CC | ] | mainframe computer | Stordator | 1 |
Q11220 [CC | ] | United States Navy | USA:s flotta | 1 |
Q638422 [CC | ] | data architecture | Dataarkitektur | 1 |
Q232630 [CC | ] | NEC | NEC | 1 |
Q6470767 [CC | ] | assembler | Assembler | 1 |
Q186437 [CC | ] | Game Boy | Game Boy | 1 |
Q1054350 [CC | ] | Neo Geo | Neo Geo (consolă) | 1 |
Q485021 [CC | ] | Windows 2.0 | Windows 2.0 | 1 |
Q491546 [CC | ] | Windows 3.0 | Windows 3.0 | 1 |
Q4639999 [CC | ] | 512-bit computing | 512-bit computing | 1 |
Q4643313 [CC | ] | 74181 | 74181 | 1 |
Q8815 [CC | ] | ASCII | ASCII | 1 |
Q4065218 [CC | ] | Angstrem | Angstrem (company) | 1 |
Q1431161 [CC | ] | audio bit depth | Audio bit depth | 1 |
Q4918661 [CC | ] | bit-serial architecture | Bit-serial architecture | 1 |
Q1089439 [CC | ] | branch target predictor | Branch target predictor | 1 |
Q954828 [CC | ] | CRC Press | CRC Press | 1 |
Q28404172 [CC | ] | cache hierarchy | Cache hierarchy | 1 |
Q28456000 [CC | ] | Cache performance measurement and metric | Cache performance measurement and metric | 1 |
Q5121567 [CC | ] | circuit | Circuit (computer science) | 1 |
Q17163118 [CC | ] | Classic RISC pipeline | Classic RISC pipeline | 1 |
Q304443 [CC | ] | Clipper architecture | Clipper architecture | 1 |
Q7100763 [CC | ] | orders of magnitude (computer performance) | Computer performance by orders of magnitude | 1 |
Q5249163 [CC | ] | decimal128 floating-point format | Decimal128 floating-point format | 1 |
Q5249164 [CC | ] | decimal32 floating-point format | Decimal32 floating-point format | 1 |
Q5249165 [CC | ] | decimal64 floating-point format | Decimal64 floating-point format | 1 |
Q1276125 [CC | ] | ETRAX CRIS | ETRAX CRIS | 1 |
Q5428126 [CC | ] | Fabric computing | Fabric computing | 1 |
Q16519569 [CC | ] | Fairchild 9440 | Fairchild 9440 | 1 |
Q1096013 [CC | ] | Fairchild Semiconductor | Fairchild Semiconductor | 1 |
Q1407586 [CC | ] | Ferranti | Ferranti | 1 |
Q104856787 [CC | ] | Ferranti F100-L | Ferranti F100-L | 1 |
Q17013480 [CC | ] | Field programmable object array | Field-programmable object array | 1 |
Q5450406 [CC | ] | Finite state machine with datapath | Finite-state machine with datapath | 1 |
Q385200 [CC | ] | Glue logic | Glue logic | 1 |
Q1259157 [CC | ] | HP 3000 | HP 3000 | 1 |
Q18148817 [CC | ] | Honeywell Level 6 | Honeywell Level 6 | 1 |
Q13637178 [CC | ] | IBM POWER | IBM POWER architecture | 1 |
Q475040 [CC | ] | IBM Series/1 | IBM Series/1 | 1 |
Q16927945 [CC | ] | IBM System/360 architecture | IBM System/360 architecture | 1 |
Q1586139 [CC | ] | IBM System/370 | IBM System/370 | 1 |
Q5969057 [CC | ] | IBM System/7 | IBM System/7 | 1 |
Q3816719 [CC | ] | influence of the IBM PC on the personal computer market | Influence of the IBM PC on the personal computer market | 1 |
Q6041767 [CC | ] | Instruction unit | Instruction unit | 1 |
Q6663274 [CC | ] | load/store architecture | Load–store architecture | 1 |
Q6663276 [CC | ] | Load-store unit | Load–store unit | 1 |
Q17143015 [CC | ] | Lockheed MAC-16 | Lockheed MAC-16 | 1 |
Q6713752 [CC | ] | M32R | M32R | 1 |
Q4043424 [CC | ] | MIL-STD-1750A | MIL-STD-1750A | 1 |
Q3841338 [CC | ] | MIPS-X | MIPS-X | 1 |
Q449270 [CC | ] | minifloat | Minifloat | 1 |
Q975106 [CC | ] | MPSoC | Multiprocessor system on a chip | 1 |
Q11256016 [CC | ] | NEC μCOM series | NEC μCOM series | 1 |
Q25109769 [CC | ] | octuple-precision floating-point format | Octuple-precision floating-point format | 1 |
Q7096675 [CC | ] | Operand forwarding | Operand forwarding | 1 |
Q205198 [CC | ] | orthogonal instruction set | Orthogonal instruction set | 1 |
Q7118841 [CC | ] | PDP-11 architecture | PDP-11 architecture | 1 |
Q130652 [CC | ] | package on a package | Package on a package | 1 |
Q107259712 [CC | ] | Panafacom | Panafacom | 1 |
Q7141703 [CC | ] | Pascal MicroEngine | Pascal MicroEngine | 1 |
Q7208369 [CC | ] | pointer machine | Pointer machine | 1 |
Q65090442 [CC | ] | Power ISA | Power ISA | 1 |
Q7236366 [CC | ] | Power Management Unit | Power Management Unit | 1 |
Q1378651 [CC | ] | Programmable Array Logic | Programmable Array Logic | 1 |
Q4893239 [CC | ] | Quantum cellular automata | Quantum cellular automaton | 1 |
Q7292660 [CC | ] | range | Range (computer programming) | 1 |
Q1192691 [CC | ] | Ricoh 5A22 | Ricoh 5A22 | 1 |
Q18391803 [CC | ] | SUPS | SUPS | 1 |
Q1242829 [CC | ] | scoreboarding | Scoreboarding | 1 |
Q3928159 [CC | ] | Scratchpad memory | Scratchpad memory | 1 |
Q7512911 [CC | ] | Signedness | Signedness | 1 |
Q124803458 [CC | ] | Simultaneous and heterogeneous multithreading | Simultaneous and heterogeneous multithreading | 1 |
Q3485669 [CC | ] | Six-bit character code | Six-bit character code | 1 |
Q7575343 [CC | ] | speculative multithreading | Speculative multithreading | 1 |
Q30671663 [CC | ] | Stanford MIPS | Stanford MIPS | 1 |
Q7636884 [CC | ] | Sum addressed decoder | Sum-addressed decoder | 1 |
Q7802117 [CC | ] | Tile processor | Tile processor | 1 |
Q2467614 [CC | ] | UNIVAC 490 | UNIVAC 490 | 1 |
Q495122 [CC | ] | Ultra-low-voltage processor | Ultra-low-voltage processor | 1 |
Q7884761 [CC | ] | Unicore | Unicore | 1 |
Q105580615 [CC | ] | VISC architecture | VISC architecture | 1 |
Q115827944 [CC | ] | Western Digital WD16 | WD16 | 1 |
Q25099897 [CC | ] | Wide-issue | Wide-issue | 1 |
Q8038418 [CC | ] | write buffer | Write buffer | 1 |
Q8041704 [CC | ] | XC2000 | XC2000 | 1 |
Q2072061 [CC | ] | Zeno machine | Zeno machine | 1 |
Q191975 [CC | ] | Zero page | Zero page | 1 |
Q2720838 [CC | ] | Zilog Z280 | Zilog Z280 | 1 |
Q1779504 [CC | ] | energy management | إدارة الطاقة | 1 |
Q121117 [CC | ] | supercomputer | حاسوب فائق | 1 |
Q1202284 [CC | ] | high color | لون عالي | 1 |
Q173142 [CC | ] | digital signal processing | معالجة رقمية للإشارة | 1 |
Q23049309 [CC | ] | No label in en! | ناخب (توضيح) | 1 |
Q774740 [CC | ] | Bus | ناقلات (توضيح) | 1 |
Q6981212 [CC | ] | Naukova Dumka | Наукова думка | 1 |
Q1146920 [CC | ] | CPU time | Процесорний час | 1 |
Q12153763 [CC | ] | No label in en! | Словник української мови у 20 томах | 1 |