This tool looks for unlabeled Wikidata items in your language. It starts with a Wikidata item,
looks at all the associated Wikipedia pages, the links on all those pages, and lists the Wikidata items corresponding to the link targets.
Q284164 - μ-recursive function
Searching link targets on 18 Wikipedias...
Item | Label [en] | Wikilabels | Link # |
---|---|---|---|
Q163310 [CC | ] | Turing machine | Máquina de Turing | 图灵机 | Turingmaschine | チューリングマシン | Maszyna Turinga | Turingův stroj | מכונת טיורינג | Machine de Turing | Macchina di Turing | Turingmachine | ماشین تورینگ | Màquina de Turing | 튜링 기계 | Turing machine | 15 |
Q341835 [CC | ] | Ackermann function | Função de Ackermann | Ackermannfunktion | アッカーマン関数 | Funkcja Ackermanna | Ackermannova funkce | פונקציית אקרמן | Fonction d'Ackermann | Función de Ackermann | Funzione di Ackermann | Ackermannfunctie | تابع آکرمان | Акерманова функција | Funció d'Ackermann | 아커만 함수 | Ackermann function | 15 |
Q1570472 [CC | ] | primitive recursive function | 原始递归函数 | Primitiv-rekursive Funktion | 原始再帰関数 | Primitivně rekurzivní funkce | פונקציה פרימיטיבית רקורסיבית | Fonction récursive primitive | Recursión primitiva | Funzione ricorsiva primitiva | Primitief recursieve functie | 원시 재귀 함수 | Primitive recursive function | 11 |
Q818930 [CC | ] | computability theory | 可计算性理论 | 計算可能性理論 | Teorie vyčíslitelnosti | Théorie de la calculabilité | Teoría de la computabilidad | Teoria della calcolabilità | نظریه رایانشپذیری | Теорија израчунљивости (рачунарство) | Θεωρία υπολογισιμότητας | Teoria de la computabilitat | 계산 가능성 이론 | 11 |
Q1166618 [CC | ] | mathematical logic | Lógica matemática | 数理逻辑 | 数理論理学 | Logique mathématique | Logica matematica | منطق ریاضی | Математичка логика | Μαθηματική λογική | Lògica matemàtica | Mathematical logic | 11 |
Q21199 [CC | ] | natural number | 自然数 | מספר טבעי | Número natural | Numero naturale | Natuurlijk getal | Природан број | Φυσικός αριθμός | 자연수 | 自然數 | Natural number | 11 |
Q242028 [CC | ] | lambda calculus | Λ演算 | Lambda-Kalkül | ラムダ計算 | Lambda-calcul | Cálculo lambda | Ламбда рачун | Càlcul lambda | Lambda calculus | 8 |
Q21198 [CC | ] | computer science | 计算机科学 | 計算機科学 | Informatique | Informatica | Информатика | 컴퓨터 과학 | 電腦科學 | Computer science | 8 |
Q309157 [CC | ] | Church-Turing thesis | 邱奇-图灵论题 | Church-Turing-These | תזת צ'רץ'-טיורינג | Thèse de Church | Tesis de Church-Turing | Черч-Тјурингова теза | Tesi de Church-Turing | Church–Turing thesis | 8 |
Q179976 [CC | ] | recursion | 递归 | Rekurencja | רקורסיה | بازگشت | Рекурзија | Αναδρομή | Recursion | 7 |
Q1148456 [CC | ] | computable function | 可计算函数 | 計算可能関数 | Funkcja obliczalna | Fonction calculable | 계산 가능 함수 | Computable function | 6 |
Q1756942 [CC | ] | partial function | Partielle Funktion | Funkcja częściowa | Función parcial | Μερική συνάρτηση | Funció parcial | Partial function | 6 |
Q205084 [CC | ] | computational complexity theory | 計算複雑性理論 | Théorie de la complexité (informatique théorique) | نظریه پیچیدگی محاسباتی | Теорија комплексности | Θεωρία πολυπλοκότητας | Computational complexity theory | 6 |
Q11348 [CC | ] | function | 函数 | פונקציה | Funzione (matematica) | Functie (wiskunde) | 函數 | 5 |
Q1900936 [CC | ] | Markov algorithm | 马尔可夫算法 | マルコフアルゴリズム | Algoritmo di Markov | الگوریتم مارکوف | Markov algorithm | 5 |
Q47577 [CC | ] | Fibonacci number | フィボナッチ数 | اعداد فیبوناچی | Фибоначијев низ | 피보나치 수 | Fibonacci number | 5 |
Q204815 [CC | ] | Marvin Minsky | Marvin Minsky | マービン・ミンスキー | ماروین مینسکی | Марвин Мински | 5 |
Q188915 [CC | ] | National Library of Israel | Biblioteka Narodowa Izraela | Národní knihovna Izraele | הספרייה הלאומית | Biblioteca Nacional de Israel | Biblioteca nazionale di Israele | 5 |
Q193563 [CC | ] | Bibliothèque nationale de France | Bibliothèque nationale | Francouzská národní knihovna | הספרייה הלאומית של צרפת | Biblioteca Nacional de Francia | Biblioteca nazionale di Francia | 5 |
Q264164 [CC | ] | recursion | 递归 (计算机科学) | Algorithme récursif | Рекурзија (компјутерске науке) | Recursion (computer science) | 4 |
Q2703890 [CC | ] | universal Turing machine | 通用圖靈機 | ماشین تورینگ جهانی | Универзална Тјурингова машина | Universal Turing machine | 4 |
Q335148 [CC | ] | Stephen Cole Kleene | Stephen Kleene | スティーヴン・コール・クリーネ | Stephen Cole Kleene | Στίβεν Κλέινι | 4 |
Q1063293 [CC | ] | R | R (計算複雑性理論) | R (сложеност) | R (복잡도) | R (complexity) | 4 |
Q455 [CC | ] | Encyclopædia Britannica | Encyklopedia Britannica | אנציקלופדיה בריטניקה | Enciclopedia Británica | Enciclopedia Britannica | 4 |
Q118455746 [CC | ] | authority control | Kontrola autorytatywna | Autoritní kontrola | Control de autoridades | Control d'autoritats | 4 |
Q620946 [CC | ] | Library of Congress Control Number | Library of Congress Control Number | 4 |
Q1451046 [CC | ] | Gödel numbering | 哥德尔数 | ゲーデル数 | Codage de Gödel | 3 |
Q1930388 [CC | ] | register machine | 寄存器机 | Registermaschine | Register machine | 3 |
Q23835349 [CC | ] | Fibonacci sequence | 斐波那契数列 | Ciąg Fibonacciego | Ακολουθία Φιμπονάτσι | 3 |
Q1392740 [CC | ] | George Boolos | George Boolos | 3 |
Q844718 [CC | ] | theory of computation | Teoria da computação | Teoria obliczeń | תורת החישוביות | 3 |
Q118107 [CC | ] | Sudan function | Sudanfunktion | Fonction de Sudan | Funzione di Sudan | 3 |
Q2878974 [CC | ] | theoretical computer science | Theoretische Informatik | Informatique théorique | Theoretische informatica | 3 |
Q3075198 [CC | ] | McCarthy 91 function | マッカーシーの91関数 | Funzione 91 di McCarthy | McCarthy 91 function | 3 |
Q1133733 [CC | ] | National Library of Latvia | Biblioteka Narodowa Łotwy | Lotyšská národní knihovna | הספרייה הלאומית של לטביה | 3 |
Q824553 [CC | ] | Stanford Encyclopedia of Philosophy | Stanford Encyclopedia of Philosophy | 3 |
Q877945 [CC | ] | recursive set | Zbiór rekurencyjny | Ensemble récursif | Insieme ricorsivo | 3 |
Q244761 [CC | ] | function composition | Złożenie funkcji | הרכבת פונקציות | Functiecompositie | 3 |
Q33057 [CC | ] | International Standard Book Number | International Standard Book Number | شماره استاندارد بینالمللی کتاب | Međunarodni standardni broj knjige | 3 |
Q307772 [CC | ] | μ operator | Μ算子 | Μ operator | 2 |
Q232661 [CC | ] | parallel computing | 并行计算 | Parallélisme (informatique) | 2 |
Q41390 [CC | ] | Kurt Gödel | 库尔特·哥德尔 | Kurt Gödel | 2 |
Q176452 [CC | ] | finite-state machine | 有限状态机 | Automate fini | 2 |
Q189156 [CC | ] | cellular automaton | 細胞自動機 | Automate cellulaire | 2 |
Q912887 [CC | ] | Cambridge University Press | Cambridge University Press | انتشارات دانشگاه کمبریج | 2 |
Q818888 [CC | ] | computability | Berechenbarkeit | Berekenbaarheid | 2 |
Q843829 [CC | ] | recursion theory | 再帰理論 | Teoria rekursji | 2 |
Q371983 [CC | ] | indicator function | 指示関数 | Fonction caractéristique (théorie des ensembles) | 2 |
Q1860 [CC | ] | English | 英語 | 영어 | 2 |
Q41506 [CC | ] | Stanford University | Uniwersytet Stanforda | Università di Stanford | 2 |
Q131030 [CC | ] | operator | Operátor | אופרטור | 2 |
Q177646 [CC | ] | subset | Podmnožina | תת-קבוצה | 2 |
Q719112 [CC | ] | MathWorld | MathWorld | 2 |
Q2466486 [CC | ] | recursive definition | Définition par récurrence | Definició inductiva | 2 |
Q746264 [CC | ] | constant function | Fonction constante | تابع ثابت | 2 |
Q395 [CC | ] | mathematics | Mathématiques | 數學 | 2 |
Q622849 [CC | ] | halting problem | Problème de l'arrêt | Halting problem | 2 |
Q6420085 [CC | ] | Kleene's T predicate | Predicato T di Kleene | Kleene's T predicate | 2 |
Q2296355 [CC | ] | 128-bit computing | 128位元 | 1 |
Q3271420 [CC | ] | 12-bit computing | 12位元 | 1 |
Q194368 [CC | ] | 16-bit computing | 16位元 | 1 |
Q161583 [CC | ] | 1-bit computing | 1位元 | 1 |
Q4632106 [CC | ] | 256-bit computing | 256位元 | 1 |
Q225147 [CC | ] | 32-bit computing | 32位元 | 1 |
Q229932 [CC | ] | 4-bit computing | 4位元 | 1 |
Q252132 [CC | ] | 64-bit computing | 64位元 | 1 |
Q270159 [CC | ] | 8-bit computing | 8位元 | 1 |
Q16980 [CC | ] | ARM architecture | ARM架構 | 1 |
Q352090 [CC | ] | CPU cache | CPU缓存 | 1 |
Q916994 [CC | ] | IA-64 | IA-64 | 1 |
Q1366099 [CC | ] | Tick–tock model | Intel Tick-Tock | 1 |
Q108443655 [CC | ] | LoongArch | LoongArch | 1 |
Q527464 [CC | ] | MIPS architecture | MIPS架構 | 1 |
Q209860 [CC | ] | PowerPC | PowerPC | 1 |
Q17637401 [CC | ] | RISC-V | RISC-V | 1 |
Q1973327 [CC | ] | ROM image | ROM镜像 | 1 |
Q273190 [CC | ] | SPARC | SPARC | 1 |
Q182933 [CC | ] | x86 | X86 | 1 |
Q229370 [CC | ] | three-dimensional integrated circuit | 三維晶片 | 1 |
Q621583 [CC | ] | application-specific instruction-set processor | 专用指令集处理器 | 1 |
Q5300 [CC | ] | central processing unit | 中央处理器 | 1 |
Q2006448 [CC | ] | stream processing | 串流處理 | 1 |
Q1377144 [CC | ] | binary multiplier | 乘法器 | 1 |
Q1153762 [CC | ] | out-of-order execution | 乱序执行 | 1 |
Q461 [CC | ] | Internet Archive | 互联网档案馆 | 1 |
Q24895600 [CC | ] | AI accelerator | 人工智能加速器 | 1 |
Q691169 [CC | ] | Task parallelism | 任务并行 | 1 |
Q5432732 [CC | ] | False sharing | 伪共享 | 1 |
Q911682 [CC | ] | address bus | 位址匯流排 | 1 |
Q1088863 [CC | ] | reservation station | 保留站 | 1 |
Q1074289 [CC | ] | frequency multiplier | 倍頻器 | 1 |
Q515636 [CC | ] | FIFO | 先進先出演算法 | 1 |
Q203066 [CC | ] | relation | 关系 (数学) | 1 |
Q1175867 [CC | ] | memory controller | 内存控制器 | 1 |
Q518020 [CC | ] | memory management unit | 内存管理单元 | 1 |
Q1424771 [CC | ] | Hazard | 冒险 (计算机系统结构) | 1 |
Q189088 [CC | ] | von Neumann architecture | 冯诺伊曼结构 | 1 |
Q180634 [CC | ] | distributed computing | 分布式计算 | 1 |
Q679552 [CC | ] | branch predictor | 分支預測器 | 1 |
Q376953 [CC | ] | binary adder | 加法器 | 1 |
Q2027240 [CC | ] | dynamic frequency scaling | 动态时钟频率调整 | 1 |
Q632037 [CC | ] | dynamic voltage scaling | 动态电压调节 | 1 |
Q1570432 [CC | ] | semiconductor device fabrication | 半导体器件制造 | 1 |
Q16969336 [CC | ] | cooperative multitasking | 协作式多任务 | 1 |
Q2365430 [CC | ] | One instruction set computer | 单一指令计算机 | 1 |
Q339387 [CC | ] | SIMD | 单指令流多数据流 | 1 |
Q165678 [CC | ] | microcontroller | 单片机 | 1 |
Q610398 [CC | ] | system on a chip | 单片系统 | 1 |
Q1640617 [CC | ] | PSoC | 可编程片上系统 | 1 |
Q82178 [CC | ] | simultaneous multithreading | 同时多线程 | 1 |
Q919509 [CC | ] | vector processor | 向量处理器 | 1 |
Q641044 [CC | ] | Harvard architecture | 哈佛结构 | 1 |
Q1139736 [CC | ] | SISD | 單指令流單數據流 | 1 |
Q971505 [CC | ] | general-purpose computing on graphics processing units | 图形处理器通用计算 | 1 |
Q183484 [CC | ] | graphics processing unit | 圖形處理器 | 1 |
Q19851307 [CC | ] | Address generation unit | 地址生成单元 | 1 |
Q1936765 [CC | ] | uniform memory access | 均匀访存模型 | 1 |
Q2791193 [CC | ] | baseband processor | 基頻處理器 | 1 |
Q2740397 [CC | ] | stack machine | 堆疊結構機器 | 1 |
Q1466064 [CC | ] | processor | 处理器 (计算) | 1 |
Q1303814 [CC | ] | processor design | 处理器设计 | 1 |
Q846651 [CC | ] | multiprocessing | 多元處理 | 1 |
Q1190992 [CC | ] | MISD | 多指令流單數據流 | 1 |
Q1149237 [CC | ] | MIMD | 多指令流多数据流 | 1 |
Q1466268 [CC | ] | multi-chip module | 多晶片模組 | 1 |
Q555032 [CC | ] | multi-core processor | 多核心處理器 | 1 |
Q1064412 [CC | ] | hardware multithreading | 多线程 | 1 |
Q625642 [CC | ] | word | 字 (计算机) | 1 |
Q339338 [CC | ] | endianness | 字节序 | 1 |
Q3698833 [CC | ] | secure cryptoprocessor | 安全加密協處理器 | 1 |
Q426882 [CC | ] | clock signal | 定時器訊號 | 1 |
Q187466 [CC | ] | processor register | 寄存器 | 1 |
Q180944 [CC | ] | register file | 寄存器堆 | 1 |
Q1754803 [CC | ] | register renaming | 寄存器重命名 | 1 |
Q1457198 [CC | ] | system in package | 封裝體系 | 1 |
Q17111997 [CC | ] | heterogeneous computing | 异构计算 | 1 |
Q742323 [CC | ] | back-side bus | 後端匯流排 | 1 |
Q5297 [CC | ] | microprocessor | 微处理器 | 1 |
Q259864 [CC | ] | microarchitecture | 微架構 | 1 |
Q175869 [CC | ] | microcode | 微程序 | 1 |
Q1025017 [CC | ] | cache coherence | 快取一致性 | 1 |
Q1330967 [CC | ] | page replacement algorithm | 快取文件置換機制 | 1 |
Q178048 [CC | ] | bus | 总线 | 1 |
Q1937058 [CC | ] | Tomasulo algorithm | 托马苏洛算法 | 1 |
Q1365898 [CC | ] | execution unit | 执行单元 | 1 |
Q1193100 [CC | ] | preemption | 抢占式多任务处理 | 1 |
Q814190 [CC | ] | instruction cycle | 指令周期 | 1 |
Q2714055 [CC | ] | Instruction level parallelism | 指令層級平行 | 1 |
Q3676413 [CC | ] | cycles per instruction | 指令平均周期数 | 1 |
Q2490641 [CC | ] | instruction pipeline | 指令管線化 | 1 |
Q272683 [CC | ] | instruction set architecture | 指令集架構 | 1 |
Q2235486 [CC | ] | control bus | 控制匯流排 | 1 |
Q2164465 [CC | ] | speculative execution | 推测执行 | 1 |
Q3375344 [CC | ] | performance per watt | 效能功耗比 | 1 |
Q173156 [CC | ] | digital electronics | 数字电路 | 1 |
Q334630 [CC | ] | data dependency | 数据依赖 | 1 |
Q189434 [CC | ] | multiplexer | 数据选择器 | 1 |
Q1633673 [CC | ] | Datapath | 数据通路 | 1 |
Q106370 [CC | ] | digital signal processor | 數位訊號處理器 | 1 |
Q173245 [CC | ] | sequential logic | 时序逻辑电路 | 1 |
Q590170 [CC | ] | clock gating | 时钟门控 | 1 |
Q911691 [CC | ] | clock rate | 时钟频率 | 1 |
Q2623746 [CC | ] | transistor count | 晶體管數量 | 1 |
Q1151063 [CC | ] | scalar processor | 标量处理器 | 1 |
Q808850 [CC | ] | barrel shifter | 桶式移位器 | 1 |
Q174925 [CC | ] | analog electronics | 模拟电路 | 1 |
Q1443434 [CC | ] | instructions per cycle | 每周期指令 | 1 |
Q7833739 [CC | ] | Transactions per second | 每秒事务处理量 | 1 |
Q71486 [CC | ] | instructions per second | 每秒指令 | 1 |
Q188768 [CC | ] | FLOPS | 每秒浮點運算次數 | 1 |
Q2574032 [CC | ] | Post–Turing machine | 波斯特-图灵机 | 1 |
Q2928001 [CC | ] | pipeline stall | 流水线停顿 | 1 |
Q733507 [CC | ] | floating-point unit | 浮点运算器 | 1 |
Q1541329 [CC | ] | mixed-signal integrated circuit | 混合訊號積體電路 | 1 |
Q1140942 [CC | ] | physics processing unit | 物理處理器 | 1 |
Q217302 [CC | ] | application-specific integrated circuit | 特殊應用積體電路 | 1 |
Q190411 [CC | ] | field-programmable gate array | 现场可编程逻辑门阵列 | 1 |
Q4437810 [CC | ] | Power management | 电源管理 | 1 |
Q600158 [CC | ] | hardware acceleration | 硬件加速 | 1 |
Q1432354 [CC | ] | hardware security module | 硬件安全模块 | 1 |
Q5351510 [CC | ] | hardware register | 硬體暫存器 | 1 |
Q814194 [CC | ] | program counter | 程式計數器 | 1 |
Q192903 [CC | ] | arithmetic logic unit | 算術邏輯單元 | 1 |
Q189376 [CC | ] | reduced instruction set computing | 精简指令集计算机 | 1 |
Q213092 [CC | ] | thread | 线程 | 1 |
Q1481571 [CC | ] | combinatory logic | 组合子逻辑 | 1 |
Q76505 [CC | ] | combinational logic | 组合逻辑电路 | 1 |
Q165596 [CC | ] | cache | 缓存 | 1 |
Q2755335 [CC | ] | network processor | 网络处理器 | 1 |
Q189401 [CC | ] | virtual memory | 虚拟内存 | 1 |
Q205663 [CC | ] | process | 行程 | 1 |
Q1063858 [CC | ] | complex programmable logic device | 複雜可程式化邏輯裝置 | 1 |
Q189120 [CC | ] | complex instruction set computer | 複雜指令集電腦 | 1 |
Q24705172 [CC | ] | vision processing unit | 视觉处理单元 | 1 |
Q6815651 [CC | ] | Memory-level parallelism | 記憶體層級平行 | 1 |
Q183380 [CC | ] | memory buffer register | 記憶體資料寄存器 | 1 |
Q1589327 [CC | ] | memory hierarchy | 記憶體階層 | 1 |
Q17008161 [CC | ] | cognitive computing | 認知計算 | 1 |
Q247299 [CC | ] | counter | 计数器 | 1 |
Q7879073 [CC | ] | counter machine | 计数器机 | 1 |
Q2651576 [CC | ] | model of computation | 计算模型 (数学) | 1 |
Q745243 [CC | ] | decoder | 译码器 | 1 |
Q5654169 [CC | ] | Flynn's taxonomy | 費林分類法 | 1 |
Q830955 [CC | ] | Hyper-threading | 超執行緒 | 1 |
Q1045706 [CC | ] | superscalar processor | 超純量 | 1 |
Q249743 [CC | ] | very long instruction word | 超长指令字 | 1 |
Q859595 [CC | ] | coprocessor | 輔助處理器 | 1 |
Q1071403 [CC | ] | translation lookaside buffer | 轉譯後備緩衝區 | 1 |
Q170451 [CC | ] | logic gate | 邏輯閘 | 1 |
Q3646603 [CC | ] | Re-order buffer | 重排序缓冲区 | 1 |
Q765620 [CC | ] | quantum Turing machine | 量子圖靈機 | 1 |
Q2122210 [CC | ] | quantum circuit | 量子線路 | 1 |
Q2118982 [CC | ] | quantum gate | 量子閘 | 1 |
Q5320 [CC | ] | electrical switch | 開關 | 1 |
Q138875 [CC | ] | Apollo Guidance Computer | 阿波罗制导计算机 | 1 |
Q3842021 [CC | ] | random-access stored-program machine | 隨機存取儲存程式機 | 1 |
Q2565212 [CC | ] | random-access machine | 隨機存取機 | 1 |
Q80831 [CC | ] | integrated circuit | 集成电路 | 1 |
Q2420752 [CC | ] | Zero instruction set computer | 零指令集 | 1 |
Q1815901 [CC | ] | electronic circuit | 電子電路 | 1 |
Q7236367 [CC | ] | Power management integrated circuit | 電源管理IC | 1 |
Q1197550 [CC | ] | computer performance | 電腦性能 | 1 |
Q66221 [CC | ] | computer data storage device | 電腦數據存貯器 | 1 |
Q868014 [CC | ] | non-uniform memory access | 非均匀访存模型 | 1 |
Q1201158 [CC | ] | explicitly parallel instruction computing | 顯式並行指令運算 | 1 |
Q379704 [CC | ] | Advanced power management | 高级电源管理 | 1 |
Q379523 [CC | ] | Advanced Configuration and Power Interface | 高级配置与电源接口 | 1 |
Q321119 [CC | ] | identity function | Função identidade | 1 |
Q115312 [CC | ] | Arnold Oberschelp | Arnold Oberschelp | 1 |
Q8805 [CC | ] | bit | Bit | 1 |
Q735775 [CC | ] | Chaitin's constant | Chaitinsche Konstante | 1 |
Q1427965 [CC | ] | busy beaver | Fleißiger Biber | 1 |
Q1196892 [CC | ] | greatest and least elements | Größtes und kleinstes Element | 1 |
Q1425952 [CC | ] | Hans Hermes | Hans Hermes | 1 |
Q96821 [CC | ] | Heinz-Dieter Ebbinghaus | Heinz-Dieter Ebbinghaus | 1 |
Q36510 [CC | ] | Modern Greek | Neugriechische Sprache | 1 |
Q2404864 [CC | ] | No label in en! | Terminiertheit | 1 |
Q2537171 [CC | ] | No label in en! | WHILE-Programm | 1 |
Q838101 [CC | ] | while loop | While-Schleife | 1 |
Q659746 [CC | ] | well-order | Wohlordnung | 1 |
Q87243 [CC | ] | Wolfgang Rautenberg | Wolfgang Rautenberg | 1 |
Q729471 [CC | ] | Cantor's diagonal argument | カントールの対角線論法 | 1 |
Q615699 [CC | ] | online encyclopedia | Encyklopedia internetowa | 1 |
Q131276 [CC | ] | International Standard Serial Number | International Standard Serial Number | 1 |
Q209875 [CC | ] | binomial coefficient | Symbol Newtona | 1 |
Q5157286 [CC | ] | computational complexity | Złożoność obliczeniowa | 1 |
Q17736 [CC | ] | axiom | Axiom | 1 |
Q11712088 [CC | ] | Index | Index | 1 |
Q205 [CC | ] | infinity | Nekonečno | 1 |
Q7227098 [CC | ] | Polythematic Structured Subject Heading System | Polytematický strukturovaný heslář | 1 |
Q130998 [CC | ] | equivalence relation | יחס שקילות | 1 |
Q621080 [CC | ] | Library of Congress Classification | שיטת ספריית הקונגרס | 1 |
Q181593 [CC | ] | sorting algorithm | Algorithme de tri | 1 |
Q134164 [CC | ] | simplex algorithm | Algorithme du simplexe | 1 |
Q504353 [CC | ] | greedy algorithm | Algorithme glouton | 1 |
Q187787 [CC | ] | genetic algorithm | Algorithme génétique | 1 |
Q583461 [CC | ] | randomized algorithm | Algorithme probabiliste | 1 |
Q13636890 [CC | ] | algorithmics | Algorithmique | 1 |
Q184741 [CC | ] | string algorithm | Algorithmique du texte | 1 |
Q333464 [CC | ] | analysis of algorithms | Analyse de la complexité des algorithmes | 1 |
Q11216 [CC | ] | numerical analysis | Analyse numérique | 1 |
Q197536 [CC | ] | deep learning | Apprentissage profond | 1 |
Q223655 [CC | ] | tree | Arbre enraciné | 1 |
Q11387554 [CC | ] | proof assistant | Assistant de preuve | 1 |
Q2872598 [CC | ] | Tree automaton | Automate d'arbres | 1 |
Q291256 [CC | ] | ω-automaton | Automate sur les mots infinis | 1 |
Q751443 [CC | ] | pushdown automaton | Automate à pile | 1 |
Q4055684 [CC | ] | first-order logic | Calcul des prédicats | 1 |
Q141090 [CC | ] | encryption | Chiffrement | 1 |
Q2981393 [CC | ] | No label in en! | Codage de l'information | 1 |
Q76592 [CC | ] | combinatorics | Combinatoire | 1 |
Q47506 [CC | ] | compiler | Compilateur | 1 |
Q2493 [CC | ] | data compression | Compression de données | 1 |
Q485520 [CC | ] | Goldbach's conjecture | Conjecture de Goldbach | 1 |
Q975734 [CC | ] | Curry–Howard correspondence | Correspondance de Curry-Howard | 1 |
Q897511 [CC | ] | cryptanalysis | Cryptanalyse | 1 |
Q8789 [CC | ] | cryptography | Cryptographie | 1 |
Q25670 [CC | ] | digital object identifier | Digital Object Identifier | 1 |
Q671298 [CC | ] | divide-and-conquer algorithm | Diviser pour régner (informatique) | 1 |
Q430001 [CC | ] | decidability | Décidabilité | 1 |
Q1144241 [CC | ] | side effect | Effet de bord (informatique) | 1 |
Q7295782 [CC | ] | Rational set | Ensemble rationnel | 1 |
Q172491 [CC | ] | data mining | Exploration de données | 1 |
Q185612 [CC | ] | regular expression | Expression régulière | 1 |
Q3075228 [CC | ] | No label in en! | Fonction déterministe | 1 |
Q15810910 [CC | ] | function | Fonction informatique | 1 |
Q3075265 [CC | ] | pure function | Fonction pure | 1 |
Q3075264 [CC | ] | No label in en! | Fonction semi-calculable | 1 |
Q3075269 [CC | ] | No label in en! | Fonction totale | 1 |
Q373045 [CC | ] | formal grammar | Grammaire formelle | 1 |
Q874709 [CC | ] | computational geometry | Géométrie algorithmique | 1 |
Q1981968 [CC | ] | heuristic | Heuristique (mathématiques) | 1 |
Q190913 [CC | ] | Chomsky hierarchy | Hiérarchie de Chomsky | 1 |
Q368988 [CC | ] | idempotence | Idempotence | 1 |
Q333341 [CC | ] | Abstract interpretation | Interprétation abstraite | 1 |
Q448086 [CC | ] | Jacques Herbrand | Jacques Herbrand | 1 |
Q192161 [CC | ] | formal language | Langage formel | 1 |
Q752532 [CC | ] | regular language | Langage rationnel | 1 |
Q1455907 [CC | ] | recursive language | Langage récursif | 1 |
Q182557 [CC | ] | computational linguistics | Linguistique informatique | 1 |
Q3245720 [CC | ] | No label in en! | Liste des algorithmes de la théorie des graphes | 1 |
Q121416 [CC | ] | discrete mathematics | Mathématiques discrètes | 1 |
Q1049183 [CC | ] | formal methods | Méthode formelle (informatique) | 1 |
Q1333872 [CC | ] | combinatorial optimization | Optimisation combinatoire | 1 |
Q3387366 [CC | ] | Pierre Wolper | Pierre Wolper | 1 |
Q131752 [CC | ] | greatest common divisor | Plus grand commun diviseur | 1 |
Q4353569 [CC | ] | Church–Turing–Deutsch principle | Principe de Church-Turing-Deutsch | 1 |
Q468422 [CC | ] | principle of excluded middle | Principe du tiers exclu | 1 |
Q3262192 [CC | ] | decision problem | Problème de décision | 1 |
Q128392 [CC | ] | concurrent computing | Programmation concurrente | 1 |
Q380679 [CC | ] | dynamic programming | Programmation dynamique | 1 |
Q194292 [CC | ] | operations research | Recherche opérationnelle | 1 |
Q676835 [CC | ] | recursively enumerable set | Récursivement énumérable | 1 |
Q2103034 [CC | ] | polynomial-time reduction | Réduction polynomiale | 1 |
Q963635 [CC | ] | reentrancy | Réentrance | 1 |
Q192776 [CC | ] | artificial neural network | Réseau de neurones artificiels | 1 |
Q1668499 [CC | ] | rewriting | Réécriture (informatique) | 1 |
Q2374463 [CC | ] | data science | Science des données | 1 |
Q175263 [CC | ] | data structure | Structure de données | 1 |
Q792533 [CC | ] | axiomatic semantics | Sémantique axiomatique | 1 |
Q1437428 [CC | ] | programming language semantics | Sémantique des langages de programmation | 1 |
Q1189746 [CC | ] | denotational semantics | Sémantique dénotationnelle | 1 |
Q1930895 [CC | ] | operational semantics | Sémantique opérationnelle | 1 |
Q829546 [CC | ] | primality test | Test de primalité | 1 |
Q1326976 [CC | ] | thread safety | Thread safety | 1 |
Q9295456 [CC | ] | theory | Théorie axiomatique | 1 |
Q131222 [CC | ] | information theory | Théorie de l'information | 1 |
Q177571 [CC | ] | decision theory | Théorie de la décision | 1 |
Q214526 [CC | ] | automata theory | Théorie des automates | 1 |
Q131476 [CC | ] | graph theory | Théorie des graphes | 1 |
Q1056428 [CC | ] | type theory | Théorie des types | 1 |
Q1933521 [CC | ] | Kleene's recursion theorem | Théorème de récursion de Kleene | 1 |
Q3527263 [CC | ] | Kleene fixed-point theorem | Théorème du point fixe de Kleene | 1 |
Q200787 [CC | ] | Gödel's incompleteness theorems | Théorèmes d'incomplétude de Gödel | 1 |
Q1754278 [CC | ] | referential transparency | Transparence référentielle | 1 |
Q1569697 [CC | ] | model checking | Vérification de modèles | 1 |
Q649732 [CC | ] | formal system | Sistema formal | 1 |
Q2013 [CC | ] | Wikidata | Wikidata | 1 |
Q430727 [CC | ] | absurdity | Assurdo | 1 |
Q14402006 [CC | ] | reductio ad absurdum | Dimostrazione per assurdo | 1 |
Q1340196 [CC | ] | Encyclopedia of Mathematics | Encyclopaedia of Mathematics | 1 |
Q3726406 [CC | ] | No label in en! | Enumerazioni nella teoria della calcolabilità | 1 |
Q484511 [CC | ] | inductive reasoning | Induzione | 1 |
Q3803714 [CC | ] | Institute of the Italian Encyclopaedia | Istituto dell'Enciclopedia Italiana | 1 |
Q16583225 [CC | ] | Nuovo soggettario | Nuovo soggettario | 1 |
Q949972 [CC | ] | if and only if | Se e solo se | 1 |
Q197970 [CC | ] | Turing completeness | Turing equivalenza | 1 |
Q7632653 [CC | ] | successor function | تابع پسین | 1 |
Q29175 [CC | ] | derivative | مشتق | 1 |
Q682353 [CC | ] | zero function | Μηδενική συνάρτηση | 1 |
Q179310 [CC | ] | computing | Informàtica | 1 |
Q841343 [CC | ] | PR | PR (복잡도) | 1 |
Q2342396 [CC | ] | bounded operator | 유계 작용소 | 1 |
Q7251 [CC | ] | Alan Turing | Alan Turing | 1 |
Q192439 [CC | ] | domain of a function | Domain of a function | 1 |
Q5312829 [CC | ] | Duke Mathematical Journal | Duke Mathematical Journal | 1 |
Q3416230 [CC | ] | integer square root | Integer square root | 1 |
Q6251515 [CC | ] | John P. Burgess | John P. Burgess | 1 |
Q6295979 [CC | ] | Journal of Symbolic Logic | Journal of Symbolic Logic | 1 |
Q17093826 [CC | ] | Junctor | Junctor | 1 |
Q7326846 [CC | ] | Richard Jeffrey | Richard Jeffrey | 1 |