This tool looks for unlabeled Wikidata items in your language. It starts with a Wikidata item,
looks at all the associated Wikipedia pages, the links on all those pages, and lists the Wikidata items corresponding to the link targets.
Q610398 - system on a chip
Searching link targets on 39 Wikipedias...
Item | Label [en] | Wikilabels | Link # |
---|---|---|---|
Q80831 [CC | ] | integrated circuit | Circuit integrat | Integrovaný obvod | Circuito integrado | Integrierter Schaltkreis | Integrated circuit | Circuit intégré | מעגל משולב | Circuito integrato | 集積回路 | Litar bersepadu | Интегральная схема | Integrerad krets | Мікросхема | Vi mạch | Integrālā shēma | Интегрална схема | Integrált áramkör | Geïntegreerde schakeling | İnteqral sxem | Mikropiiri | Integrert krets | Integralno kolo | 集成电路 | Sirkuit terpadu | Układ scalony | সমন্বিত বর্তনী | دارة متكاملة | 집적 회로 | 31 |
Q165678 [CC | ] | microcontroller | Microcontrolador | Microcontroller | Mikrokontroller | Mikrokontroler | Mikrocontroller | Microcontrôleur | Microcontrollore | マイクロコントローラ | Mikropengawal | Микроконтроллер | Мікроконтролер | Vi điều khiển | Микроконтролер | Mikrovezérlő | Mikrokontrolér | Mikrodenetleyici | Microcontroler | മൈക്രോകൺട്രോളർ | 单片机 | ریزکنترلگر | মাইক্রোকন্ট্রোলার | متحكم صغري | 마이크로컨트롤러 | 28 |
Q193040 [CC | ] | embedded system | Embedded system | Eingebettetes System | Système embarqué | מערכת משובצת מחשב | Sistema embedded | 組み込みシステム | Вбудована система | Hệ thống nhúng | Вградена система | Beágyazott rendszer | Vestavěný systém | Gömülü sistem | Gömülü sistemlər | Sulautettu järjestelmä | Innebygd system | Sistem înglobat | എംബെഡഡ് സിസ്റ്റം | 嵌入式系统 | System wbudowany | سامانه تعبیهشده | এমবেডেড সিস্টেম | نظام مضمن | Sistema embebido | Sistema embarcado | 임베디드 시스템 | 26 |
Q5297 [CC | ] | microprocessor | Microprocessador | Mikroprocesor | Mikroprozessor | Microprocessor | Mikroprotsessor | Microprocesseur | Microprocessore | マイクロプロセッサ | Mikropemproses | Микропроцессор | Мікропроцесор | Vi xử lý | Mikroprocesors | Microprocesor | മൈക്രോപ്രൊസസ്സർ | 微处理器 | ریزپردازنده | Microprocesador | 마이크로프로세서 | 21 |
Q68 [CC | ] | computer | Computer | Komputer | Počítač | Ordinador | מחשב | Компьютер | Комп'ютер | Dators | Számítógép | Bilgisayar | Kompüter | Datamaskin | കമ്പ്യൂട്ടർ | Računar | رایانه | Computador | 컴퓨터 | 21 |
Q79984 [CC | ] | Ethernet | Ethernet | אתרנט | 以太网 | 이더넷 | 19 |
Q11650 [CC | ] | electronics | Elektronika | Electronics | Elektronik | Electrònica | Elektroonika | Électronique (technique) | Elektronikk | ഇലക്ട്രോണിക്സ് | 电子学 | الکترونیک | إلكترونيات | Electrónica | Eletrônica | 18 |
Q5295 [CC | ] | random-access memory | RAM | Random-access memory | Memòria d'accés aleatori | Random-Access Memory | Muutmälu | Mémoire vive | Ingatan capaian rawak | Brīvpiekļuves atmiņa | Memorie cu acces aleator | റാൻഡം ആക്സസ് മെമ്മറി | حافظه دسترسی تصادفی | Memoria de acceso aleatorio | 18 |
Q106370 [CC | ] | digital signal processor | Processador de senyals digitals | Digitaler Signalprozessor | Digital signal processor | Signaaliprotsessor | Processeur de signal numérique | DSP | デジタルシグナルプロセッサ | Pemproses isyarat digital | Цифровой сигнальный процессор | Процесор цифрових сигналів | Ciparsignālu procesors | Digitale signaalprocessor | Digitaalinen signaaliprosessori | Procesor numeric de semnal | 數位訊號處理器 | Procesor sygnałowy | 디지털 신호 처리 장치 | 17 |
Q42378 [CC | ] | Universal Serial Bus | USB | Universal Serial Bus | Bus en sèrie universal | یواسبی | 16 |
Q160710 [CC | ] | read-only memory | Read-only memory | Memòria ROM | Festwertspeicher | Püsimälu | Mémoire morte | Read Only Memory | Ingatan baca sahaja | Постоянное запоминающее устройство | Lasāmatmiņa | Sadece okunabilir bellek | Memorie ROM | റീഡ് ഒൺലി മെമ്മറി | 唯讀記憶體 | Pamięć tylko do odczytu | حافظه فقط خواندنی | 16 |
Q182933 [CC | ] | x86 | X86 | Procesorska arhitektura x86 | اکس۸۶ | 16 |
Q16980 [CC | ] | ARM architecture | ARM | ARM architecture family | ARM (arvutiarhitektuur) | Architecture ARM | Architettura ARM | ARM (архитектура) | ARM architektúra | ARM-architectuur | ARM架構 | ARM (architektura) | معماری آرم | Arquitectura ARM | Arquitetura ARM | ARM 아키텍처 | 16 |
Q5300 [CC | ] | central processing unit | CPU | Central processing unit | מעבד | Центральный процессор | Центральний процесор | Suoritin | 中央处理器 | Unit Pemroses Sentral | واحد پردازش مرکزی | কেন্দ্রীয় প্রক্রিয়াজাতকরণ ইউনিট | وحدة معالجة مركزية | Unidad central de procesamiento | 중앙 처리 장치 | 15 |
Q174077 [CC | ] | flash memory | Memoria flash | Memòria flaix | Flash-Speicher | Flash memory | Mémoire flash | Ingatan kilat | Флеш-память | Zibatmiņa | Memorie flash | Flash memorija | 闪存 | Pamięć flash | 플래시 메모리 | 14 |
Q1860 [CC | ] | English | Inglise keel | Английский язык | Tiếng Anh | Английски език | İngilis dili | Englannin kieli | Engelsk | Inglis leid | Engleski jezik | Bahasa Inggris | Język angielski | زبان انگلیسی | اللغة الإنجليزية | 영어 | 14 |
Q183484 [CC | ] | graphics processing unit | Grafikprozessor | Graphics processing unit | Processeur graphique | Graphics Processing Unit | Графический процессор | Grafikprocessor | Графичен процесор | Qrafik prosessor | Unitate de procesare grafică | 圖形處理器 | واحد پردازش گرافیکی | وحدة معالجة الرسوميات | 그래픽 처리 장치 | 13 |
Q3966 [CC | ] | computer hardware | Hardware | Maquinari | Computer hardware | ハードウェア | Hardver | Aparat təchizatı | سختافزار رایانه | কম্পিউটার হার্ডওয়্যার | عتاد حاسوب | 컴퓨터 하드웨어 | 12 |
Q190169 [CC | ] | analog-to-digital converter | Analog-Digital-Umsetzer | Analog-to-digital converter | Analoog-digitaalmuundur | Convertitore analogico-digitale | Penukar analog ke digital | Аналого-цифровой преобразователь | Аналого-цифровий перетворювач | Analogciparu pārveidotājs | Аналогово-цифров преобразувател | Analoog-digitaalomzetter | Conversión analógica-digital | 아날로그-디지털 변환회로 | 12 |
Q217302 [CC | ] | application-specific integrated circuit | ASIC | Application-specific integrated circuit | Anwendungsspezifische integrierte Schaltung | Application specific integrated circuit | Alkalmazásspecifikus integrált áramkör | 特殊應用積體電路 | مدارهای مجتمع با کاربرد خاص | 12 |
Q1466064 [CC | ] | processor | Procesor | Prozessor | Processor (computing) | Procesoro | Protsessor | Processeur | プロセッサ | Процесор | Processor (computer) | 处理器 (计算) | پردازنده (رایانش) | 12 |
Q22645 [CC | ] | smartphone | Smartphone | טלפון חכם | Смартфон | Akıllı telefon | സ്മാർട്ട് ഫോൺ | Pametni mobitel | স্মার্টফোন | 스마트폰 | 12 |
Q189088 [CC | ] | von Neumann architecture | Von-Neumann-Architektur | Von Neumann architecture | Von Neumanni arhitektuur | Architecture de von Neumann | ノイマン型 | Архитектура фон Неймана | Архітектура фон Неймана | Arhitectură von Neumann | 冯诺伊曼结构 | معماری فون نویمان | معمارية فون نيومان | 폰 노이만 구조 | 12 |
Q232661 [CC | ] | parallel computing | Parallel computing | Parallélisme (informatique) | Calcolo parallelo | 並列計算 | Параллельные вычислительные системы | Паралельні обчислення | Paralel hesablama | 并行计算 | رایانش موازی | সমান্তরাল কম্পিউটিং | حوسبة متوازية | 병렬 컴퓨팅 | 12 |
Q66221 [CC | ] | computer data storage device | Memòria d'ordinador | Computer data storage | Mémoire (informatique) | 記憶装置 | Комп'ютерна пам'ять | Memorija računara | 電腦數據存貯器 | ذخیرهسازی داده رایانه | কম্পিউটার মেমরি | تخزين بيانات الحاسوب | Memoria (informática) | 11 |
Q178648 [CC | ] | peripheral | Perifèric | Peripheral | Périphérique informatique | 周辺機器 | Periferiya qurğuları | Periferic | 外部设备 | دستگاه جانبی | طرفية (حاسوب) | Periférico (informática) | Periférico | 11 |
Q174948 [CC | ] | analog signal | Senyal analògic | Analog signal | Isyarat analog | Analógia | Analogový signál | Analog veri | 模擬信號 | سیگنال آنالوگ | إشارة تماثلية | Señal analógica | Sinal analógico | 11 |
Q193412 [CC | ] | Texas Instruments | Texas Instruments | 德州仪器 | 11 |
Q192903 [CC | ] | arithmetic logic unit | Arithmetisch-logische Einheit | Arithmetic logic unit | Aritmeetika-loogikaplokk | Unité arithmétique et logique | 演算装置 | Арифметико-логическое устройство | Арифметико-логічний пристрій | 算術邏輯單元 | واحد محاسبه و منطق | وحدة الحساب والمنطق | 산술 논리 장치 | 11 |
Q190411 [CC | ] | field-programmable gate array | FPGA | Field Programmable Gate Array | Field-programmable gate array | 现场可编程逻辑门阵列 | مدار مجتمع دیجیتال برنامهپذیر | 11 |
Q641044 [CC | ] | Harvard architecture | Harvard-Architektur | Harvard architecture | Harvardi arhitektuur | Architecture de type Harvard | ハーバード・アーキテクチャ | Гарвардская архитектура | Гарвардська архітектура | 哈佛结构 | معماری هاروارد | معمارية هارفارد | 하버드 아키텍처 | 11 |
Q173212 [CC | ] | computer architecture | Computer architecture | コンピュータ・アーキテクチャ | Архитектура компьютера | Архітектура комп'ютера | Kompüterin arxitekturası | കമ്പ്യൂട്ടർ ആർക്കിടെക്ചർ | Računarska arhitektura | معماری رایانه | কম্পিউটার স্থাপত্য | معمارية الحاسوب | 컴퓨터 구조 | 11 |
Q205908 [CC | ] | EEPROM | EEPROM | Electrically Erasable Programmable Read-Only Memory | Electrically-erasable programmable read-only memory | 10 |
Q209455 [CC | ] | VHDL | VHDL | Very High Speed Integrated Circuit Hardware Description Language | 10 |
Q827773 [CC | ] | Verilog | Verilog | 베릴로그 | 10 |
Q173350 [CC | ] | printed circuit board | Leiterplatte | Printed circuit board | Circuit imprimé | プリント基板 | Sxem lövhəsi | Piirilevy | Circuit imprimat | برد مدار چاپی | প্রিন্টেড সার্কিট বোর্ড | لوحة دارة مطبوعة | 10 |
Q245 [CC | ] | Raspberry Pi | Raspberry Pi | 10 |
Q210863 [CC | ] | digital-to-analog converter | Digital-to-analog converter | Digitaal-analoogmuundur | Convertitore digitale-analogico | Penukar digital ke analog | Цифро-аналоговый преобразователь | Цифро-аналоговий перетворювач | Цифрово-аналогов преобразувател | Digitaal-analoogomzetter | Conversor digital-analógico | 디지털-아날로그 변환회로 | 10 |
Q9135 [CC | ] | operating system | Operating system | Operatsioonisüsteem | オペレーティングシステム | Операционна система | İşletim sistemi | Besturingssysteem | Əməliyyat sistemi | سیستمعامل | অপারেটিং সিস্টেম | نظام تشغيل | 10 |
Q247299 [CC | ] | counter | Comptador | Zählwerk | Counter (digital) | Compteur | Счётчик (электроника) | Лічильник імпульсів | 计数器 | شمارنده | 계수기 | 9 |
Q13741 [CC | ] | integrated development environment | Entorn integrat de desenvolupament | Integrated development environment | 統合開発環境 | Интегрированная среда разработки | Інтегроване середовище розробки | İnteqrasiya olunmuş inkişaf mühiti | 集成开发环境 | ইনটিগ্রেটেড ডেভলপমেন্ট ইনভাইরনমেন্ট | بيئة تطوير متكاملة | 9 |
Q131566 [CC | ] | Institute of Electrical and Electronics Engineers | Institute of Electrical and Electronics Engineers | 9 |
Q849492 [CC | ] | Serial Peripheral Interface | Serial Peripheral Interface | 序列周邊介面 | 9 |
Q1753563 [CC | ] | SystemC | SystemC | 9 |
Q1387402 [CC | ] | SystemVerilog | SystemVerilog | 시스템베릴로그 | 9 |
Q178048 [CC | ] | bus | Bus (Datenverarbeitung) | Bus (computing) | Bus informatique | Шина (компьютер) | Комп'ютерна шина | 总线 | گذرگاه (رایانه) | Bus (informática) | 버스 (컴퓨팅) | 9 |
Q2296355 [CC | ] | 128-bit computing | 128-bit computing | Processeur 128 bits | 128ビット | 128 бит | 128 біт | 128位元 | ۱۲۸-بیت | معمارية 128 بت | 128비트 | 9 |
Q194368 [CC | ] | 16-bit computing | 16-bit computing | 16-bitine arvutiarhitektuur | Architecture 16 bits | 16ビット | 16 бит | 16位元 | ۱۶ بیتی | معمارية 16 بت | 16비트 | 9 |
Q225147 [CC | ] | 32-bit computing | 32-bit computing | 32-bitine arvutiarhitektuur | Architecture 32 bits | 32ビット | 32 бита | 32位元 | ۳۲-بیت | معمارية 32 بت | 32비트 | 9 |
Q252132 [CC | ] | 64-bit computing | 64-bit computing | 64-bitine arvutiarhitektuur | Processeur 64 bits | 64ビット | 64 бита | 64 біти | 64位元 | معمارية 64 بت | 64비트 | 9 |
Q270159 [CC | ] | 8-bit computing | 8-bit computing | Architecture 8 bits | 8ビット | 8 бит (компьютерная архитектура) | 8-bit | 8位元 | ۸ بیتی | معمارية 8 بت | 8비트 | 9 |
Q352090 [CC | ] | CPU cache | CPU cache | Protsessori vahemälu | Cache de processeur | キャッシュメモリ | Кэш процессора | Кеш процесора | CPU缓存 | حافظه نهان سیپییو | CPU 캐시 | 9 |
Q189120 [CC | ] | complex instruction set computer | CISC | Complex instruction set computer | Microprocesseur à jeu d'instructions étendu | 複雜指令集電腦 | رایانه با دستورهای پیچیده | حاسوب مجموعة تعليمات معقدة | 복잡 명령어 집합 컴퓨터 | 9 |
Q5654169 [CC | ] | Flynn's taxonomy | Flynn's taxonomy | Taxonomie de Flynn | フリンの分類 | Таксономия Флинна | Таксономія Флінна | 費林分類法 | طبقهبندی فلین | تصنيف فلين | 플린 분류 | 9 |
Q2490641 [CC | ] | instruction pipeline | Instruction pipelining | Pipeline (architecture des processeurs) | 命令パイプライン | Вычислительный конвейер | Конвеєр команд | 指令管線化 | خط لوله (رایانه) | خط أنابيب تعليمات | 명령어 파이프라인 | 9 |
Q518020 [CC | ] | memory management unit | Memory management unit | Unité de gestion de mémoire | メモリ管理ユニット | Блок управления памятью | Модуль керування пам'яттю | 内存管理单元 | واحد مدیریت حافظه | وحدة إدارة الذاكرة | 메모리 관리 장치 | 9 |
Q1149237 [CC | ] | MIMD | MIMD | Multiple instruction, multiple data | Multiple instructions on multiple data | 多指令流多数据流 | چند دستور چند داده | تعليمات متعددة بيانات متعددة | 9 |
Q1190992 [CC | ] | MISD | MISD | Multiple instruction, single data | Multiple instructions single data | 多指令流單數據流 | چند دستور یک داده | تعليمات متعددة بيانات وحيدة | 9 |
Q189434 [CC | ] | multiplexer | Multiplexer | Multipleksor | Multiplexeur | マルチプレクサ | Мультиплексор (электроника) | Мультиплексор | 数据选择器 | تسهیمکننده | 멀티플렉서 | 9 |
Q187466 [CC | ] | processor register | Processor register | Register (arvutitehnika) | Registre de processeur | レジスタ (コンピュータ) | Регистр процессора | Регістр процесора | 寄存器 | سجل المعالج | 프로세서 레지스터 | 9 |
Q9143 [CC | ] | programming language | Programming language | プログラミング言語 | Мова програмування | Ngôn ngữ lập trình | Proqramlaşdırma dili | 编程语言 | زبان برنامهنویسی | প্রোগ্রামিং ভাষা | لغة برمجة | 9 |
Q189376 [CC | ] | reduced instruction set computing | RISC | Reduced instruction set computer | Processeur à jeu d'instructions réduit | Reduced Instruction Set Computing | 精简指令集计算机 | رایانه کم دستور | حاسوب مجموعة تعليمات مخفضة | 축소 명령어 집합 컴퓨터 | 9 |
Q339387 [CC | ] | SIMD | SIMD | Single instruction, multiple data | Single instruction multiple data | 单指令流多数据流 | یک دستور چند داده | تعليمات وحيدة بيانات متعددة | 9 |
Q1139736 [CC | ] | SISD | SISD | Single instruction, single data | Single instruction on single data | 單指令流單數據流 | یک دستور یک داده | تعليمات وحيدة بيانات وحيدة | 9 |
Q181693 [CC | ] | IEEE 1394 | FireWire | IEEE 1394 | 8 |
Q1640617 [CC | ] | PSoC | PSoC | Cypress PSoC | 可编程片上系统 | 8 |
Q175022 [CC | ] | digital signal | Senyal digital | Isyarat digital | Dijital sinyal | 数字信号 | إشارة رقمية | Señal digital | Sinal digital | 디지털 신호 | 8 |
Q17637401 [CC | ] | RISC-V | RISC-V | ریسک پنج | 8 |
Q379523 [CC | ] | Advanced Configuration and Power Interface | ACPI | Advanced Configuration and Power Interface | 高级配置与电源接口 | رابط قدرت و تنظیمات پیشرفته | 8 |
Q911691 [CC | ] | clock rate | Clock rate | Taktsagedus | Fréquence d'horloge | Тактовая частота | Тактова частота | 时钟频率 | معدل ساعة (حاسوب) | 클럭 속도 | 8 |
Q1201158 [CC | ] | explicitly parallel instruction computing | Explicitly parallel instruction computing | EPICアーキテクチャ | EPIC (архитектура микропроцессора) | EPIC | 顯式並行指令運算 | رایانش موازی صریح دستورالعملها | EPIC 아키텍처 | 8 |
Q733507 [CC | ] | floating-point unit | Floating-point unit | Unité de calcul en virgule flottante | Математический сопроцессор | Математичний співпроцесор | 浮点运算器 | واحد ممیز شناور | وحدة الفاصلة العائمة | 부동소수점 장치 | 8 |
Q830955 [CC | ] | Hyper-threading | Hyper-threading | ハイパースレッディング・テクノロジー | 超執行緒 | فراریسمانی | تقنية خيوط المعالجة الفائقة | 하이퍼스레딩 | 8 |
Q180944 [CC | ] | register file | Register file | Banc de registres | レジスタファイル | Регистровый файл | Регістровий файл | 寄存器堆 | فایل ثبات | 레지스터 파일 | 8 |
Q1045706 [CC | ] | superscalar processor | Superscalar processor | Processeur superscalaire | スーパースカラー | Суперскалярность | Суперскалярність | 超純量 | سوپراسکالر | 슈퍼스칼라 | 8 |
Q1071403 [CC | ] | translation lookaside buffer | Translation lookaside buffer | トランスレーション・ルックアサイド・バッファ | Буфер ассоциативной трансляции | Буфер асоціативної трансляції | 轉譯後備緩衝區 | تیالبی | 변환 색인 버퍼 | 8 |
Q919509 [CC | ] | vector processor | Vector processor | Processeur vectoriel | ベクトル計算機 | Векторный процессор | Векторний процесор | 向量处理器 | پردازنده برداری | 벡터 프로세서 | 8 |
Q249743 [CC | ] | very long instruction word | VLIW | Very long instruction word | 超长指令字 | کلمه دستورالعمل بسیار طولانی | 8 |
Q39531 [CC | ] | Bluetooth | Bluetooth | 7 |
Q174925 [CC | ] | analog electronics | Electrònica analògica | Analogue electronics | Électronique analogique | 模拟电路 | الکترونیک آنالوگ | Electrónica analógica | 아날로그 회로 | 7 |
Q186612 [CC | ] | timer | Таймер | Temporitzador | Timer | Taimeris | Temporizador | 타이머 | 7 |
Q165596 [CC | ] | cache | Cache | Cache (computing) | Mémoire cache | Кэш | Keš memorija | 缓存 | حافظه نهان (رایانش) | 7 |
Q600158 [CC | ] | hardware acceleration | Hardwarebeschleunigung | Hardware acceleration | Acceleratore (informatica) | ハードウェアアクセラレーション | 硬件加速 | شتابدهنده سختافزاری | تسريع عتاد الحاسوب | 7 |
Q1140942 [CC | ] | physics processing unit | Physikbeschleuniger | Physics processing unit | Processeur physique | Физический процессор | Фізичний процесор | 物理處理器 | 물리 처리 장치 | 7 |
Q1193262 [CC | ] | Qualcomm Snapdragon | Qualcomm Snapdragon | Snapdragon (Prozessor) | 스냅드래곤 | 7 |
Q1457198 [CC | ] | system in package | System-in-Package | System in a package | System in package | SiP | System-in-package | 封裝體系 | سامانه در یک بسته | 7 |
Q379704 [CC | ] | Advanced power management | Advanced Power Management | APM | 高级电源管理 | 고급 전원 관리 | 7 |
Q11660 [CC | ] | artificial intelligence | Artificial intelligence | 人工知能 | Süni intellekt | Inteligență artificială | هوش مصنوعی | কৃত্রিম বুদ্ধিমত্তা | ذكاء اصطناعي | 7 |
Q679552 [CC | ] | branch predictor | Branch predictor | Prédiction de branchement | Предсказатель переходов | Модуль передбачення переходів | 分支預測器 | پیشبینیکننده پرش | 분기 예측 | 7 |
Q590170 [CC | ] | clock gating | Clock gating | 时钟门控 | دروازه بندی ساعت | 클럭 게이팅 | 7 |
Q3510521 [CC | ] | computer security | Computer security | コンピュータセキュリティ | Kibertəhlükəsizlik | امنیت رایانه | কম্পিউটার নিরাপত্তা | أمن الحاسوب | 컴퓨터 보안 | 7 |
Q173156 [CC | ] | digital electronics | Digital electronics | Électronique numérique | Elettronica digitale | 数字电路 | الکترونیک دیجیتال | Electrónica digital | 디지털 회로 | 7 |
Q173142 [CC | ] | digital signal processing | Digital signal processing | Digital signalbehandling | Xử lý tín hiệu số | Digitális jelfeldolgozás | Sayısal işaret işleme | پردازش سیگنال دیجیتال | معالجة رقمية للإشارة | 7 |
Q180634 [CC | ] | distributed computing | Distributed computing | Calcul distribué | 分散コンピューティング | 分布式计算 | رایانش توزیعشده | حوسبة موزعة | 분산 컴퓨팅 | 7 |
Q104851 [CC | ] | firmware | Firmware | 韌體 | ফার্মওয়্যার | 펌웨어 | 7 |
Q272683 [CC | ] | instruction set architecture | Instruction set architecture | Архитектура набора команд | Архітектура системи команд | 指令集架構 | مجموعه دستورالعمل | مجموعة التعليمات | 명령어 집합 | 7 |
Q2714055 [CC | ] | Instruction level parallelism | Instruction-level parallelism | 命令レベルの並列性 | Параллелизм на уровне команд | Паралелізм на рівні команд | 指令層級平行 | موازیسازی در سطح دستورالعمل | توازي على مستوى التعليمة | 7 |
Q175869 [CC | ] | microcode | Microcode | Microprogrammation | Микрокод | Мікрокод | 微程序 | ریزبرنامهسازی | 마이크로코드 | 7 |
Q1064412 [CC | ] | hardware multithreading | Multithreading (computer architecture) | Multithreading | ハードウェアマルチスレッディング | Многопоточность | 多线程 | چندریسمانی | 멀티스레딩 | 7 |
Q1153762 [CC | ] | out-of-order execution | Out-of-order execution | Exécution dans le désordre | アウト・オブ・オーダー実行 | Внеочередное исполнение | Позачергове виконання | 乱序执行 | 비순차적 명령어 처리 | 7 |
Q1754803 [CC | ] | register renaming | Register renaming | Renommage de registres | レジスタ・リネーミング | Переименование регистров | Перейменування регістрів | 寄存器重命名 | تغییر نام ثبات | 7 |
Q82178 [CC | ] | simultaneous multithreading | Simultaneous multithreading | 同時マルチスレッディング | Одновременная многопоточность | 同时多线程 | چندریسمانی همزمان | 동시 멀티스레딩 | 7 |
Q944780 [CC | ] | single-board computer | Single-board computer | Ordinateur à carte unique | Одноплатный компьютер | Máy tính bo mạch đơn | Singleboardcomputer | 单板机 | 7 |
Q2164465 [CC | ] | speculative execution | Speculative execution | Exécution spéculative | 投機的実行 | Спекулятивное исполнение | Спекулятивне виконання | 推测执行 | اجرای پیش بینانه | 7 |
Q625642 [CC | ] | word | Word (computer architecture) | Mot (architecture informatique) | Машинное слово | Машинне слово | 字 (计算机) | کلمه (معماری رایانه) | 워드 (컴퓨팅) | 7 |
Q4321 [CC | ] | motherboard | Anakart | Placă de bază | മദർബോഡ് | Matična ploča | مادربورد | মাদারবোর্ড | 메인보드 | 7 |
Q210813 [CC | ] | direct memory access | DMA (informàtica) | Direct memory access | Direct Memory Access | Прямой доступ к памяти | 直接記憶體存取 | 직접 메모리 접근 | 6 |
Q7397 [CC | ] | software | Software | Programari | Softvér | 6 |
Q128896 [CC | ] | AMD | AMD | Advanced Micro Devices | 超威半导体 | ایامدی | 6 |
Q1063858 [CC | ] | complex programmable logic device | Complex Programmable Logic Device | Complex programmable logic device | CPLD | 複雜可程式化邏輯裝置 | 복합 프로그래머블 논리 소자 | 6 |
Q1194864 [CC | ] | electronic design automation | Electronic Design Automation | Electronic design automation | EDA (半導体) | 電子設計自動化 | خودکارسازی طراحی الکترونیکی | أتمتة التصميم الإلكتروني | 6 |
Q173341 [CC | ] | hardware description language | Hardwarebeschreibungssprache | Hardware description language | ハードウェア記述言語 | 硬件描述语言 | Lenguaje de descripción de hardware | 하드웨어 기술 언어 | 6 |
Q248 [CC | ] | Intel | Intel | 英特尔 | 인텔 | 6 |
Q859595 [CC | ] | coprocessor | Koprozessor | Coprocessor | Coprocesseur | 輔助處理器 | کمک پردازنده | 코프로세서 | 6 |
Q8789 [CC | ] | cryptography | Kryptographie | Cryptography | 暗号理論 | Kriptoqrafiya | رمزنگاری | তথ্যগুপ্তিবিদ্যা | 6 |
Q527464 [CC | ] | MIPS architecture | MIPS-Architektur | MIPS architecture | Architecture MIPS | MIPS架構 | معماری میپس | MIPS 아키텍처 | 6 |
Q209860 [CC | ] | PowerPC | PowerPC | 파워PC | 6 |
Q544847 [CC | ] | Qualcomm | Qualcomm | 퀄컴 | 6 |
Q1175867 [CC | ] | memory controller | Speichercontroller | Memory controller | Contrôleur mémoire | 内存控制器 | کنترلگر حافظه | 메모리 컨트롤러 | 6 |
Q229932 [CC | ] | 4-bit computing | 4-bit computing | 4ビット | 4-біт архітектура | 4位元 | ۴-بیت | 4비트 | 6 |
Q24895600 [CC | ] | AI accelerator | AI accelerator | Puce d'accélération de réseaux de neurones | Нейронный процессор | 人工智能加速器 | شتابدهنده هوش مصنوعی | AI 가속기 | 6 |
Q8366 [CC | ] | algorithm | Algorithm | アルゴリズム | Alqoritm | الگوریتم | অ্যালগরিদম | خوارزمية | 6 |
Q182656 [CC | ] | chipset | Chipset | Чипсет | 6 |
Q1301371 [CC | ] | computer network | Computer network | コンピュータネットワーク | Kompüter şəbəkəsi | شبکه رایانهای | কম্পিউটার নেটওয়ার্ক | شبكة حاسوب | 6 |
Q676838 [CC | ] | instruction control unit | Control unit | Unité de contrôle | 制御装置 | Устройство управления | Пристрій керування | 제어 장치 | 6 |
Q172491 [CC | ] | data mining | Data mining | データマイニング | دادهکاوی | উপাত্ত খনন | تنقيب في البيانات | 6 |
Q8513 [CC | ] | database | Database | データベース | Verilənlər bazası | پایگاه داده | ডেটাবেজ | قاعدة بيانات | 6 |
Q212805 [CC | ] | digital library | Digital library | 電子図書館 | Elektron kitabxana | کتابخانه دیجیتال | ডিজিটাল গ্রন্থাগার | مكتبة رقمية | 6 |
Q121416 [CC | ] | discrete mathematics | Discrete mathematics | 離散数学 | Diskret riyaziyyat | ریاضیات گسسته | বিচ্ছিন্ন গণিত | رياضيات متقطعة | 6 |
Q632037 [CC | ] | dynamic voltage scaling | Dynamic voltage scaling | Ajustement dynamique de la tension | Динамическое изменение напряжения | 动态电压调节 | مقیاسبندی ولتاژ پویا | 동적 전압 스케일링 | 6 |
Q484847 [CC | ] | e-commerce | E-commerce | 電子商取引 | Elektron ticarət | تجارت الکترونیک | ই-বাণিজ্য | تجارة إلكترونية | 6 |
Q1815901 [CC | ] | electronic circuit | Electronic circuit | Circuit électronique | Электронная схема | 電子電路 | مدار الکترونیکی | 전자 회로 | 6 |
Q176452 [CC | ] | finite-state machine | Finite-state machine | Automate fini | Automat finit | 有限状态机 | ماشین حالات متناهی | 유한 상태 기계 | 6 |
Q971505 [CC | ] | general-purpose computing on graphics processing units | GPGPU | General-purpose computing on graphics processing units | General-purpose processing on graphics processing units | 图形处理器通用计算 | محاسبات همهمنظوره بر روی واحد پردازش گرافیکی | 6 |
Q483130 [CC | ] | geographic information system | Geographic information system | 地理情報システム | Coğrafi informasiya sistemi | سامانه اطلاعات جغرافیایی | ভৌগোলিক তথ্য ব্যবস্থা | نظام معلومات جغرافية | 6 |
Q189900 [CC | ] | information security | Information security | 情報セキュリティ | İnformasiya təhlükəsizliyi | امنیت اطلاعات | তথ্য নিরাপত্তা | أمن المعلومات | 6 |
Q131222 [CC | ] | information theory | Information theory | 情報理論 | İnformasiya nəzəriyyəsi | نظریه اطلاعات | তথ্য তত্ত্ব | نظرية المعلومات | 6 |
Q251212 [CC | ] | Internet of things | Internet of things | Internet Vạn Vật | ഇന്റർനെറ്റ് ഓഫ് തിങ്സ് | Internet stvari | 物联网 | اینترنت اشیاء | 6 |
Q2539 [CC | ] | machine learning | Machine learning | 機械学習 | Maşın öyrənməsi | یادگیری ماشین | تعلم الآلة | 기계 학습 | 6 |
Q7754 [CC | ] | mathematical analysis | Mathematical analysis | 解析学 | Riyazi analiz | آنالیز ریاضی | গাণিতিক বিশ্লেষণ | تحليل رياضي | 6 |
Q555032 [CC | ] | multi-core processor | Multi-core processor | Microprocesseur multi-cœur | マルチコア | 多核心處理器 | پردازنده چندهستهای | 멀티 코어 | 6 |
Q2755335 [CC | ] | network processor | Network processor | Processeur réseau | Сетевой процессор | 网络处理器 | پردازندههای شبکهای | 네트워크 프로세서 | 6 |
Q11216 [CC | ] | numerical analysis | Numerical analysis | 数値解析 | Ədədi analiz | آنالیز عددی | সাংখ্যিক বিশ্লেষণ | تحليل عددي | 6 |
Q2365430 [CC | ] | One instruction set computer | URISC | One-instruction set computer | Ordinateur à jeu d'instruction unique | OISC | 单一指令计算机 | 6 |
Q1130645 [CC | ] | open-source software | Open-source software | オープンソースソフトウェア | Açıq mənbəli proqram təminatı | نرمافزار متنباز | উন্মুক্ত-উৎসের সফটওয়্যার | برمجيات مفتوحة المصدر | 6 |
Q1193100 [CC | ] | preemption | Preemption (computing) | Multitâche préemptif | Витискальна багатозадачність | 抢占式多任务处理 | پیشاجرایی (رایانش) | 선점 스케줄링 | 6 |
Q9492 [CC | ] | probability | Probability | 確率 | Ehtimal | احتمالات | সম্ভাবনা | احتمال | 6 |
Q205663 [CC | ] | process | Process (computing) | Processus (informatique) | Процес (інформатика) | 行程 | Proceso (informática) | 프로세스 | 6 |
Q814194 [CC | ] | program counter | Program counter | Compteur ordinal | Счётчик команд | 程式計數器 | شمارنده برنامه | 프로그램 카운터 | 6 |
Q1077784 [CC | ] | programming tool | Programming tool | プログラミングツール | 软件开发工具 | ابزار توسعه نرمافزار | প্রোগ্রামিং সফটওয়্যার | أداة برمجة | 6 |
Q3396184 [CC | ] | radio frequency | Radio frequency | Frekuensi radio | Rádiófrekvencia | Radiofrekvens | فرکانس رادیویی | Radiofrequência | 6 |
Q273190 [CC | ] | SPARC | SPARC | Architecture SPARC | اسپارک | 6 |
Q12483 [CC | ] | statistics | Statistics | 統計学 | Statistika | آمار | পরিসংখ্যান | إحصاء | 6 |
Q1061159 [CC | ] | Tegra | Tegra | טגרה | Nvidia Tegra | 엔비디아 테그라 | 6 |
Q213092 [CC | ] | thread | Thread (computing) | Lõim (informaatika) | Thread (informatique) | スレッド (コンピュータ) | 线程 | 스레드 (컴퓨팅) | 6 |
Q163310 [CC | ] | Turing machine | Turing machine | Turingi masin | Machine de Turing | 图灵机 | ماشین تورینگ | 튜링 기계 | 6 |
Q876049 [CC | ] | very-large-scale integration | Very-large-scale integration | VLSI | 超大规模集成电路 | یکپارچهسازی کلانمقیاس | تكامل وسيع النطاق | Integración a muy gran escala | 6 |
Q7889 [CC | ] | video game | Video game | コンピュータゲーム | Videooyun | بازی ویدئویی | ভিডিও গেম | لعبة فيديو | 6 |
Q170519 [CC | ] | virtual reality | Virtual reality | バーチャル・リアリティ | Virtual reallıq | واقعیت مجازی | অপ্রকৃত বাস্তবতা | واقع افتراضي | 6 |
Q851210 [CC | ] | voltage regulator | Voltage regulator | Pengatur voltan | Стабилизатор напряжения | Стабілізатор напруги | 稳压器 | Regulador de tensão | 6 |
Q466 [CC | ] | World Wide Web | World Wide Web | Ümumdünya hörümçək toru | وب جهانگستر | ওয়ার্ল্ড ওয়াইড ওয়েব | الشبكة العنكبوتية العالمية | 6 |
Q280409 [CC | ] | universal asynchronous receiver/transmitter | UART | Universal Asynchronous Receiver-Transmitter | 6 |
Q388 [CC | ] | Linux | Linux | لينكس | 6 |
Q1406 [CC | ] | Microsoft Windows | Microsoft Windows | Windows | مايكروسوفت ويندوز | 6 |
Q296782 [CC | ] | Arm Holdings | ARM Holdings | ARM (компания) | Arm Holdings | 5 |
Q1033951 [CC | ] | device driver | Controlador de dispositiu | Device driver | 驱动程序 | Controlador de dispositivo | Driver de dispositivo | 5 |
Q184793 [CC | ] | computer-aided design | Computer-aided design | Disseny assistit per ordinador | Diseño asistido por computadora | 컴퓨터 지원 설계 | 5 |
Q179550 [CC | ] | software bug | Error de programari | Software bug | 程序错误 | Error de software | 소프트웨어 버그 | 5 |
Q79726 [CC | ] | kilobyte | Kilobyte | Kilobajt | 5 |
Q648266 [CC | ] | Wayback Machine | Wayback Machine | واي باك مشين | 웨이백 머신 | 5 |
Q379630 [CC | ] | Advanced Microcontroller Bus Architecture | Advanced Microcontroller Bus Architecture | 高级微控制器总线架构 | Especificación AMBA | 어드밴스트 마이크로컨트롤러 버스 아키텍처 | 5 |
Q1541329 [CC | ] | mixed-signal integrated circuit | Mixed-Signal | Mixed-signal integrated circuit | Circuit intégré à signaux mixtes | 混合訊號積體電路 | مدار مجتمع سیگنال آمیخته | 5 |
Q1151413 [CC | ] | OMAP | OMAP | Open Multimedia Application Platform | 5 |
Q1046482 [CC | ] | Xilinx | Xilinx | 赛灵思 | 5 |
Q161583 [CC | ] | 1-bit computing | 1-bit computing | 1-біт архітектура | 1位元 | معماری ۱-بیتی | معمارية 1 بت | 5 |
Q3271420 [CC | ] | 12-bit computing | 12-bit computing | 12ビット | 12-біт архітектура | 12位元 | معمارية 12 بت | 5 |
Q376953 [CC | ] | binary adder | Adder (electronics) | Additionneur | 加法器 | جمعکننده | 가산기 | 5 |
Q621583 [CC | ] | application-specific instruction-set processor | ASIP | Application-specific instruction set processor | 专用指令集处理器 | پردازنده مجموعه دستورالعمل های خاص برنامه | 5 |
Q808850 [CC | ] | barrel shifter | Barrel shifter | 桶式移位器 | 5 |
Q1377144 [CC | ] | binary multiplier | Binary multiplier | Multiplieur | 乘法器 | ضربکننده دودویی | 곱셈기 | 5 |
Q1279909 [CC | ] | Bit-level parallelism | Bit-level parallelism | Паралелізм бітового рівня | موازیسازی بیتی | توازي على مستوى البت | 5 |
Q132364 [CC | ] | communication protocol | Communication protocol | 通信プロトコル | Verilənlərin ötürülməsi protokolları | যোগাযোগ সৌজন্যবিধি (কম্পিউটার) | بروتوكول (اتصالات) | 5 |
Q818930 [CC | ] | computability theory | Computability theory | 計算可能性理論 | نظریه رایانشپذیری | পরিগণনীয়তা তত্ত্ব (কম্পিউটার বিজ্ঞান) | نظرية الحسوبية | 5 |
Q1401416 [CC | ] | computer animation | Computer animation | コンピュータアニメーション | Kompüter animasiyası | پویانمایی رایانهای | تحريك حاسوبي | 5 |
Q21198 [CC | ] | computer science | Computer science | İnformatika | علوم رایانه | কম্পিউটার বিজ্ঞান | علم الحاسوب | 5 |
Q241317 [CC | ] | computing platform | Computing platform | プラットフォーム (コンピューティング) | Kompüter platforması | কম্পিউটিং ভিত্তিমঞ্চ | منصة حوسبة | 5 |
Q6501221 [CC | ] | control theory | Control theory | 制御理論 | İdarəetmə nəzəriyyəsi | نظریه کنترل | نظرية التحكم | 5 |
Q849340 [CC | ] | cyberwarfare | Cyberwarfare | サイバー戦争 | Kibermüharibə | جنگ مجازی | حرب سيبرانية | 5 |
Q330268 [CC | ] | decision support system | Decision support system | 意思決定支援システム | Qərar qəbuledici dəstək sistemi | سامانه پشتیبانی تصمیم | نظام دعم قرار | 5 |
Q860372 [CC | ] | digital art | Digital art | デジタルアート | Rəqəmsal sənət | هنر دیجیتال | فن رقمي | 5 |
Q1323528 [CC | ] | digital marketing | Digital marketing | デジタルマーケティング | Rəqəmsal marketinq | بازاریابی اینترنتی | تسويق إلكتروني | 5 |
Q2027240 [CC | ] | dynamic frequency scaling | Dynamic frequency scaling | Троттлинг | 动态时钟频率调整 | درجهبندی پویای بسامد | 동적 주파수 스케일링 | 5 |
Q1068473 [CC | ] | educational technology | Educational technology | 教育工学 | فناوری آموزشی | শিক্ষা প্রযুক্তি | تقانة تعليم | 5 |
Q926161 [CC | ] | electronic voting | Electronic voting | 電子投票 | Elektron seçki | رأیگیری الکترونیکی | تصويت إلكتروني | 5 |
Q339338 [CC | ] | endianness | Endianness | Boutisme | 字节序 | اندیان | 엔디언 | 5 |
Q1365898 [CC | ] | execution unit | Execution unit | Unité d'exécution | Функціональний блок процесора | 执行单元 | 실행 장치 | 5 |
Q188768 [CC | ] | FLOPS | FLOPS | 每秒浮點運算次數 | فلاپس | 플롭스 | 5 |
Q1432354 [CC | ] | hardware security module | Hardware security module | Hardware Security Module | 硬件安全模块 | ماژول امنیتی سختافزاری | 하드웨어 보안 모듈 | 5 |
Q816826 [CC | ] | information retrieval | Information retrieval | 情報検索 | İnformasiya axtarışı | بازیابی اطلاعات | استرجاع المعلومات | 5 |
Q121182 [CC | ] | information system | Information system | 情報システム | İnformasiya sistemi | سامانه اطلاعاتی | نظم معلومات | 5 |
Q814190 [CC | ] | instruction cycle | Instruction cycle | Цикл виконання інструкцій | 指令周期 | چرخه دستورالعمل | 명령 주기 | 5 |
Q71486 [CC | ] | instructions per second | Instructions per second | Instructions par seconde | 每秒指令 | دستورالعمل بر ثانیه | 초당 명령 수 | 5 |
Q183065 [CC | ] | interpreter | Interpreter (computing) | インタプリタ | İnterpretator | ইন্টারপ্রেটার | مفسر (برمجة) | 5 |
Q745881 [CC | ] | intrusion detection system | Intrusion detection system | 侵入検知システム | Müdaxilənin aşkarlanması sistemi | سامانه تشخیص نفوذ | نظام كشف التسلل | 5 |
Q188860 [CC | ] | software library | Library (computing) | ライブラリ | Thư viện (máy tính) | Kitabxana (informatika) | مكتبة برمجية | 5 |
Q170451 [CC | ] | logic gate | Logic gate | Porte logique | 邏輯閘 | دروازه منطقی | 논리 회로 | 5 |
Q141495 [CC | ] | mathematical optimization | Mathematical optimization | 数理最適化 | Optimallaşdırma | بهینهسازی | استمثال (رياضيات) | 5 |
Q699848 [CC | ] | MediaTek | MediaTek | 5 |
Q1589327 [CC | ] | memory hierarchy | Memory hierarchy | Hiérarchie de mémoire | 記憶體階層 | سلسلهمراتب حافظه | 메모리 계층 구조 | 5 |
Q146768 [CC | ] | middleware | Middleware | ミドルウェア | Aralıq proqram təminatı | میانافزار | برمجيات وسيطة | 5 |
Q922381 [CC | ] | minimal instruction set computer | Minimal instruction set computer | MISC | کامپیوتر با حداقل دستورالعمل | 5 |
Q2651576 [CC | ] | model of computation | Model of computation | 計算モデル | 计算模型 (数学) | مدل محاسبه | نموذج حوسبة | 5 |
Q1466268 [CC | ] | multi-chip module | Multi-chip module | 多晶片模組 | ماژول چند-تراشهای | Módulo multichip | 멀티칩 모듈 | 5 |
Q846651 [CC | ] | multiprocessing | Multiprocessing | マルチプロセッシング | 多元處理 | چندپردازشی | معالجة متعددة | 5 |
Q30642 [CC | ] | natural language processing | Natural language processing | 自然言語処理 | Təbii dilin emalı | پردازش زبانهای طبیعی | معالجة اللغة الطبيعية | 5 |
Q868014 [CC | ] | non-uniform memory access | Non-uniform memory access | Non uniform memory access | 非均匀访存模型 | حافظه دسترسی غیریکپارچه | 불균일 기억 장치 접근 | 5 |
Q194292 [CC | ] | operations research | Operations research | オペレーションズ・リサーチ | Əməliyyat araşdırması | تحقیق در عملیات | بحوث العمليات | 5 |
Q4437810 [CC | ] | Power management | Power management | Energiebeheer | 电源管理 | مدیریت نیرو | 전원 관리 | 5 |
Q2670534 [CC | ] | programming language theory | Programming language theory | Proqramlaşdırma dili nəzəriyyəsi | نظریه زبانهای برنامهنویسی | প্রোগ্রামিং ভাষা তত্ত্ব | نظرية لغات البرمجة | 5 |
Q1973327 [CC | ] | ROM image | ROM image | Image ROM | ROM镜像 | تصویر رام | 롬 이미지 | 5 |
Q176953 [CC | ] | rendering | Rendering (computer graphics) | レンダリング (コンピュータ) | Render | رندرینگ (گرافیک رایانهای) | تصيير (رسوميات حاسوبية) | 5 |
Q1151063 [CC | ] | scalar processor | Scalar processor | Processeur scalaire | Скалярний процесор | 标量处理器 | 스칼라 프로세서 | 5 |
Q638608 [CC | ] | software development | Software development | ソフトウェア開発 | توسعه نرمافزار | সফটওয়্যার নির্মাণ | تطوير برمجيات | 5 |
Q271680 [CC | ] | software framework | Software framework | ソフトウェアフレームワーク | چارچوب نرمافزاری | ফ্রেমওয়ার্ক | إطار عمل (برمجة) | 5 |
Q2740397 [CC | ] | stack machine | Stack machine | Processeur basé sur la pile | 堆疊結構機器 | ماشین پشتهای | 스택 머신 | 5 |
Q5320 [CC | ] | electrical switch | Switch | Interrupteur | 開關 | کلید (مدار) | 개폐기 | 5 |
Q155972 [CC | ] | tablet computer | Tablet computer | Планшетный компьютер | Tablet bilgisayar | ট্যাবলেট কম্পিউটার | 태블릿 컴퓨터 | 5 |
Q691169 [CC | ] | Task parallelism | Task parallelism | タスク並列性 | Паралелізм завдань | 任务并行 | توازي المهام | 5 |
Q2329233 [CC | ] | Transport triggered architecture | Transport triggered architecture | معماری راهاندازی حملونقل | 5 |
Q541265 [CC | ] | ubiquitous computing | Ubiquitous computing | ユビキタスコンピューティング | رایانش فراگیر | حوسبة سائدة | 유비쿼터스 컴퓨팅 | 5 |
Q192726 [CC | ] | virtual machine | Virtual machine | Virtual maşın | ماشین مجازی | ভার্চুয়াল মেশিন | آلة افتراضية | 5 |
Q2420752 [CC | ] | Zero instruction set computer | ZISC | Zero instruction set computer | 零指令集 | 5 |
Q294967 [CC | ] | Geode | MediaGX | Geode | Geode (mikroprocesszor) | AMD Geode | Geode (processador) | 5 |
Q47506 [CC | ] | compiler | コンパイラ | Kompilyator | کامپایلر | কম্পাইলার | مصرف (حوسبة) | 5 |
Q339554 [CC | ] | Network On Chip | Network-On-Chip | Network on a chip | Réseau sur une puce | شبکه درون یک تراشه | 4 |
Q29643 [CC | ] | Wi-Fi | Wi-Fi | وای-فای | 4 |
Q757489 [CC | ] | Atmel | Atmel | 4 |
Q1072430 [CC | ] | die | Čip | Die (Halbleitertechnik) | Die (integrated circuit) | 4 |
Q114901 [CC | ] | gate array | Gate array | Gate-Array | دروازه آرایه | 4 |
Q11456 [CC | ] | semiconductor | Halbleiter | Semi-conducteur | Poluprovodnik | 반도체 | 4 |
Q311394 [CC | ] | Infineon Technologies | Infineon Technologies | Infineon | 4 |
Q1798019 [CC | ] | LEON | LEON | 4 |
Q1155668 [CC | ] | NXP Semiconductors | NXP Semiconductors | 4 |
Q1092481 [CC | ] | OpenRISC | OpenRISC | 4 |
Q2112217 [CC | ] | programmable logic array | Programmierbare logische Anordnung | Programmable logic array | Programmable Logic Array | 可程式化邏輯陣列 | 4 |
Q1063837 [CC | ] | programmable logic device | Programmierbare logische Schaltung | Programmable logic device | ПЛИС | 可程式化邏輯裝置 | 4 |
Q661845 [CC | ] | STMicroelectronics | STMicroelectronics | 4 |
Q1195496 [CC | ] | 24-bit computing | 24-bit computing | 24ビット | معمارية 24 بت | 24비트 | 4 |
Q959339 [CC | ] | 48-bit computing | 48-bit computing | 48ビット | معمارية 48 بت | 48비트 | 4 |
Q19851307 [CC | ] | Address generation unit | Address generation unit | Блок генерації адреси | 地址生成单元 | واحد تولید آدرس | 4 |
Q367183 [CC | ] | addressing mode | Addressing mode | Mode d'adressage | Способи адресації пам'яті | حالت آدرسدهی | 4 |
Q333464 [CC | ] | analysis of algorithms | Analysis of algorithms | アルゴリズム解析 | تحلیل الگوریتمها | تحليل الخوارزميات | 4 |
Q4781497 [CC | ] | application security | Application security | امنیت برنامه | অ্যাপ্লিকেশন নিরাপত্তা | أمن التطبيقات | 4 |
Q16837517 [CC | ] | Banana Pi | Banana Pi | 4 |
Q4181187 [CC | ] | barrel processor | Barrel processor | Барабанный процессор | پردازنده بشکهای | 4 |
Q2791193 [CC | ] | baseband processor | Baseband processor | Processeur de bande de base | 基頻處理器 | پردازنده باندپایه | 4 |
Q812912 [CC | ] | BeagleBoard | BeagleBoard | Beagle board | Beagle Board | 4 |
Q745243 [CC | ] | decoder | Binary decoder | 译码器 | رمزگشا | 복호화 | 4 |
Q878691 [CC | ] | bit slicing | Bit slicing | Секційний процесор | بیت برش | 비트 슬라이스 | 4 |
Q1025017 [CC | ] | cache coherence | Cache coherence | Protocole de cohérence de cache | 快取一致性 | انسجام حافظه نهان | 4 |
Q426882 [CC | ] | clock signal | Clock signal | Signal d'horloge | 定時器訊號 | سیگنال ساعت | 4 |
Q17008161 [CC | ] | cognitive computing | Cognitive computing | 認知計算 | رایانش شناختی | 인지 컴퓨팅 | 4 |
Q177005 [CC | ] | computational biology | Computational biology | 計算生物学 | زیستشناسی محاسباتی | علم الأحياء المحوسب | 4 |
Q369472 [CC | ] | computational chemistry | Computational chemistry | 計算化学 | شیمی محاسباتی | كيمياء محوسبة | 4 |
Q205084 [CC | ] | computational complexity theory | Computational complexity theory | 計算複雑性理論 | نظریه پیچیدگی محاسباتی | نظرية التعقيد الحسابي | 4 |
Q874709 [CC | ] | computational geometry | Computational geometry | 計算幾何学 | هندسه محاسباتی | هندسة رياضية حاسوبية | 4 |
Q909554 [CC | ] | computational physics | Computational physics | 計算物理学 | فیزیک محاسباتی | فيزياء محوسبة | 4 |
Q1197550 [CC | ] | computer performance | Computer performance | 電腦性能 | کارآیی کامپیوتر | 컴퓨터 성능 | 4 |
Q844240 [CC | ] | computer vision | Computer vision | コンピュータビジョン | بینایی رایانهای | رؤية حاسوبية | 4 |
Q1414548 [CC | ] | concurrency | Concurrency (computer science) | 並行性 | সহবর্তমানতা (কম্পিউটার বিজ্ঞান) | تزامن (حوسبة) | 4 |
Q128392 [CC | ] | concurrent computing | Concurrent computing | رایانش همزمان | حوسبة متزامنة | 병행 컴퓨팅 | 4 |
Q16969336 [CC | ] | cooperative multitasking | Cooperative multitasking | Кооперативна багатозадачність | 协作式多任务 | چند وظیفهای بدون قبضهای | 4 |
Q541014 [CC | ] | cross-validation | Cross-validation (statistics) | 交差検証 | روش اعتبارسنجی متقابل | تحقق متقاطع | 4 |
Q331911 [CC | ] | data buffer | Data buffer | Mémoire tampon | حافظه میانگیر | 버퍼 (컴퓨터 과학) | 4 |
Q3124522 [CC | ] | data parallelism | Data parallelism | Parallélisme de donnée | データ並列性 | Паралелізм даних | 4 |
Q1172415 [CC | ] | dataflow architecture | Dataflow architecture | Architecture Dataflow | Архітектура потоків даних | معماری جریان داده | 4 |
Q1633673 [CC | ] | Datapath | Datapath | Chemin de données | 数据通路 | مسیرداده | 4 |
Q691358 [CC | ] | domain-specific language | Domain-specific language | ドメイン固有言語 | زبان خاص دامنه | لغة مخصصة النطاق | 4 |
Q5337692 [CC | ] | edge computing | Edge computing | എഡ്ജ് കമ്പ്യൂട്ടിംഗ് | Komputasi tepi | رایانش لبهای | 4 |
Q1196904 [CC | ] | electronic publishing | Electronic publishing | 電子出版 | نشر الکترونیک | نشر إلكتروني | 4 |
Q202871 [CC | ] | emulator | Emulator | 仿真器 | Emulador | 에뮬레이터 | 4 |
Q831795 [CC | ] | enterprise information system | Enterprise information system | 企業情報システム | سامانه اطلاعات سازمانی | نظام بيانات شركة | 4 |
Q216158 [CC | ] | expansion card | Expansion card | എക്സ്പാൻഷൻ കാർഡ് | Proširujuća kartica | کارت توسعه | 4 |
Q3736059 [CC | ] | Explicit Data Graph Execution | Explicit Data Graph Execution | Explicit data graph execution | 4 |
Q483488 [CC | ] | Exynos | Exynos | Samsung Exynos | 4 |
Q515636 [CC | ] | FIFO | FIFO (computing and electronics) | 先進先出演算法 | خروج به ترتیب ورود (رایانه و الکترونیک) | 선입 선출 | 4 |
Q192161 [CC | ] | formal language | Formal language | 形式言語 | Formal dillər | لغة متصرفة | 4 |
Q1049183 [CC | ] | formal methods | Formal methods | 形式手法 | روشهای صوری | طريقة شكلية | 4 |
Q1064746 [CC | ] | green computing | Green computing | グリーンIT | رایانش سبز | حوسبة خضراء | 4 |
Q5351510 [CC | ] | hardware register | Hardware register | 硬體暫存器 | ثبات سختافزاری | 하드웨어 레지스터 | 4 |
Q1424771 [CC | ] | Hazard | Hazard (computer architecture) | Конфлікти в конвеєрі | 冒险 (计算机系统结构) | مخاطره (معماری رایانه) | 4 |
Q870895 [CC | ] | health informatics | Health informatics | 健康情報学 | انفورماتیک پزشکی | معلوماتية صحية | 4 |
Q17111997 [CC | ] | heterogeneous computing | Heterogeneous computing | Calcul hétérogène | 异构计算 | 이기종 컴퓨팅 | 4 |
Q207434 [CC | ] | human–computer interaction | Human–computer interaction | ヒューマンコンピュータインタラクション | تعامل انسان و رایانه | মানব-কম্পিউটার মিথস্ক্রিয়া | 4 |
Q916994 [CC | ] | IA-64 | IA-64 | 4 |
Q412438 [CC | ] | image compression | Image compression | 画像圧縮 | فشردهسازی تصویر | ضغط صورة | 4 |
Q1443434 [CC | ] | instructions per cycle | Instructions per cycle | Instructions par cycle | 每周期指令 | 클럭당 명령어 처리 횟수 | 4 |
Q1061656 [CC | ] | interaction design | Interaction design | インタラクションデザイン | طراحی تعاملی | تصميم التفاعل | 4 |
Q3478658 [CC | ] | knowledge representation and reasoning | Knowledge representation and reasoning | 知識表現 | بازنمود دانش | تمثيل المعرفة | 4 |
Q1639024 [CC | ] | mathematical software | Mathematical software | 数学ソフトウェア | نرمافزار ریاضی | برمجية رياضية | 4 |
Q259864 [CC | ] | microarchitecture | Microarchitecture | 微架構 | ریزمعماری | 4 |
Q2738570 [CC | ] | mobile computing | Mobile computing | മൊബൈൽ കമ്പ്യൂട്ടിംഗ് | মোবাইল কম্পিউটিং | 모바일 컴퓨팅 | 4 |
Q1941921 [CC | ] | modeling language | Modeling language | モデリング言語 | زبان مدلسازی | لغة نمذجة | 4 |
Q3501111 [CC | ] | modified Harvard architecture | Modified Harvard architecture | Модифікована Гарвардська архітектура | معماری هاروارد بهبودیافته | بنية هارفارد المعدلة | 4 |
Q639681 [CC | ] | network architecture | Network architecture | Şəbəkə arxitekturası | معماری شبکه | معمارية الشبكة | 4 |
Q989632 [CC | ] | network security | Network security | ネットワーク・セキュリティ | Şəbəkə təhlükəsizliyi | امنیت شبکه | 4 |
Q1546066 [CC | ] | networking hardware | Networking hardware | Şəbəkə avadanlığı | سختافزار شبکه | عتاد الشبكة | 4 |
Q621327 [CC | ] | No instruction set computing | NISC | No instruction set computing | محاسبات بدون مجموعه دستورالعمل | 4 |
Q52637 [CC | ] | phase-locked loop | Phase-locked loop | 锁相环 | 4 |
Q2464338 [CC | ] | philosophy of artificial intelligence | Philosophy of artificial intelligence | Süni intellekt fəlsəfəsi | فلسفه هوش مصنوعی | فلسفة الذكاء الاصطناعي | 4 |
Q2928001 [CC | ] | pipeline stall | Pipeline stall | Bulle (informatique) | 流水线停顿 | حباب (رایانه) | 4 |
Q1303814 [CC | ] | processor design | Processor design | Architecture de processeur | 处理器设计 | طراحی پردازنده | 4 |
Q188267 [CC | ] | programming paradigm | Programming paradigm | プログラミングパラダイム | Proqramlaşdırma paradiqması | نمط برمجة | 4 |
Q1757693 [CC | ] | protocol stack | Protocol stack | 协议栈 | 프로토콜 스택 | 4 |
Q765620 [CC | ] | quantum Turing machine | Quantum Turing machine | 量子圖靈機 | ماشین تورینگ کوانتومی | 양자 튜링 기계 | 4 |
Q2118982 [CC | ] | quantum gate | Quantum logic gate | Porte quantique | 量子閘 | دروازههای منطقی کوانتومی | 4 |
Q2565212 [CC | ] | random-access machine | Random-access machine | Random access machine | 隨機存取機 | 랜덤 접근 기계 | 4 |
Q583461 [CC | ] | randomized algorithm | Randomized algorithm | 乱択アルゴリズム | সম্ভাবনাভিত্তিক অ্যালগোরিদম | خوارزمية عشوائية | 4 |
Q3988 [CC | ] | real-time computing | Real-time computing | リアルタイムシステム | رایانش بیدرنگ | حوسبة في زمن حقيقي | 4 |
Q1930388 [CC | ] | register machine | Register machine | Machine à registres illimités | 寄存器机 | 레지스터 머신 | 4 |
Q187147 [CC | ] | requirements analysis | Requirements analysis | 要求分析 | تحلیل نیازمندیها | تحليل المتطلبات | 4 |
Q3698833 [CC | ] | secure cryptoprocessor | Secure cryptoprocessor | Cryptoprocesseur sécurisé | 安全加密協處理器 | رمزپردازنده ایمن | 4 |
Q1437428 [CC | ] | programming language semantics | Semantics (computer science) | プログラム意味論 | معنیشناسی (علوم رایانه) | علم الدلالة الشكلي | 4 |
Q1570432 [CC | ] | semiconductor device fabrication | Semiconductor device fabrication | Fabrication des dispositifs à semi-conducteurs | 半导体器件制造 | ساخت ادوات نیمرسانا | 4 |
Q173245 [CC | ] | sequential logic | Sequential logic | Logique séquentielle | 时序逻辑电路 | 순차 논리 | 4 |
Q857102 [CC | ] | software design | Software design | ソフトウェア設計 | طراحی نرمافزار | تصميم البرمجيات | 4 |
Q2904257 [CC | ] | software development process | Software development process | فرایند توسعه نرمافزار | সফটওয়্যার উন্নয়ন প্রক্রিয়া | عملية تطوير البرمجيات | 4 |
Q1412670 [CC | ] | software quality | Software quality | ソフトウェア品質 | کیفیت نرمافزار | جودة البرمجيات | 4 |
Q2006448 [CC | ] | stream processing | Stream processing | 串流處理 | پردازش جریان | 스트림 프로세싱 | 4 |
Q334384 [CC | ] | supervised learning | Supervised learning | 教師あり学習 | তত্ত্বাবধানাধীন শিখন | تعلم مراقب | 4 |
Q7698927 [CC | ] | temporal multithreading | Temporal multithreading | Часова багатопотоковість | چندرشته زمانی | 시간적 멀티스레딩 | 4 |
Q25106376 [CC | ] | tensor processing unit | Tensor Processing Unit | Тензорный процессор Google | واحد پردازشی تنسور | 4 |
Q844718 [CC | ] | theory of computation | Theory of computation | 計算理論 | نظریه محاسبات | نظرية الحوسبة | 4 |
Q1937058 [CC | ] | Tomasulo algorithm | Tomasulo's algorithm | Algorithme de Tomasulo | 托马苏洛算法 | الگوریتم توماسولو | 4 |
Q7833739 [CC | ] | Transactions per second | Transactions per second | 每秒事务处理量 | تراکنش بر ثانیه | 초당 트랜잭션 수 | 4 |
Q2703890 [CC | ] | universal Turing machine | Universal Turing machine | Machine de Turing universelle | 通用圖靈機 | ماشین تورینگ جهانی | 4 |
Q1152135 [CC | ] | unsupervised learning | Unsupervised learning | 教師なし学習 | یادگیری بینظارت | تعلم غير مراقب | 4 |
Q189401 [CC | ] | virtual memory | Virtual memory | Mémoire virtuelle | 虚拟内存 | حافظه مجازی | 4 |
Q24705172 [CC | ] | vision processing unit | Vision processing unit | Процессор машинного зрения | 视觉处理单元 | واحد پردازش بینایی | 4 |
Q451553 [CC | ] | visualization | Visualization (graphics) | 可視化 | مصورسازی (گرافیک رایانهای) | تمثيل مرئي (رسوميات) | 4 |
Q11375 [CC | ] | wireless network | Wireless network | Бездротова мережа | Rețea fără fir | Bežično umrežavanje | 4 |
Q54277 [CC | ] | word processor | Word processor | Mətn prosessoru | واژهپرداز | ওয়ার্ড প্রসেসর | 4 |
Q249997 [CC | ] | electronic oscillator | Pengayun | Osilatör | Oscillator (elektronica) | Oscilador eletrónico | 4 |
Q179310 [CC | ] | computing | Informàtica | Điện toán | Informática | 3 |
Q365535 [CC | ] | oscillator | Oscil·lador | Ostsillaator | Oscilador | 3 |
Q6453666 [CC | ] | modular programming | Programació modular | Modular programming | Programación modular | 3 |
Q17517 [CC | ] | mobile phone | Telèfon mòbil | Mobiiltelefon | Teléfono móvil | 3 |
Q438294 [CC | ] | Altera | Altera | 3 |
Q5830907 [CC | ] | computer memory | Arbeitsspeicher | Computer memory | Bellek (bilgisayar) | 3 |
Q1654284 [CC | ] | semiconductor intellectual property core | IP-Core | Semiconductor intellectual property core | IPコア | 3 |
Q747207 [CC | ] | Lattice Semiconductor | Lattice Semiconductor | Lattice Semiconductor Corporation | 3 |
Q1153205 [CC | ] | Mentor Graphics | Mentor Graphics | 明導國際 | 3 |
Q1644704 [CC | ] | MicroBlaze | MicroBlaze | Microblaze | 3 |
Q3740564 [CC | ] | PALASM | PALASM | 3 |
Q1378651 [CC | ] | Programmable Array Logic | Programmable Array Logic | 可程式化陣列邏輯 | 3 |
Q240838 [CC | ] | reconfigurable computing | Reconfigurable Computing | Reconfigurable computing | 可重組計算 | 3 |
Q167676 [CC | ] | sensor | Sensor | Senzor | 3 |
Q267416 [CC | ] | static random-access memory | Static random-access memory | SRAM | 3 |
Q2303478 [CC | ] | Synopsys | Synopsys | 新思科技 | 3 |
Q4632106 [CC | ] | 256-bit computing | 256-bit computing | 256 бит | 256位元 | 3 |
Q296760 [CC | ] | ARM Cortex-M | ARM Cortex-M | 3 |
Q2165106 [CC | ] | Allwinner Technology Co. Ltd. | Allwinner Technology | 3 |
Q138875 [CC | ] | Apollo Guidance Computer | Apollo Guidance Computer | 阿波罗制导计算机 | 3 |
Q2631895 [CC | ] | automated planning and scheduling | Automated planning and scheduling | 自動計画 | التخطيط الآلي والجدولة | 3 |
Q837479 [CC | ] | Boolean circuit | Boolean circuit | Circuit booléen | مدار بولی | 3 |
Q13404475 [CC | ] | cache algorithm | Cache replacement policies | Algorithme de mise en cache | الگوریتم حافظه پنهان | 3 |
Q189156 [CC | ] | cellular automaton | Cellular automaton | Automate cellulaire | 細胞自動機 | 3 |
Q1369857 [CC | ] | chip carrier | Chip carrier | Plastic Leaded Chip Carrier | Типы корпусов процессоров | 3 |
Q76505 [CC | ] | combinational logic | Combinational logic | 组合逻辑电路 | 조합 논리 | 3 |
Q362601 [CC | ] | computational engineering | Computational engineering | مهندسی و علم محاسبه | هندسة محوسبة | 3 |
Q1122491 [CC | ] | computational mathematics | Computational mathematics | ریاضیات محاسباتی | رياضيات محوسبة | 3 |
Q16909867 [CC | ] | computational social science | Computational social science | 計算社会科学 | علوم اجتماعی محاسباتی | 3 |
Q3097841 [CC | ] | computer accessibility | Computer accessibility | コンピュータアクセシビリティ | دسترسپذیری رایانه | 3 |
Q7600677 [CC | ] | computer graphics | Computer graphics | گرافیک رایانهای | رسوميات حاسوبية | 3 |
Q7879073 [CC | ] | counter machine | Counter machine | Machine à compteurs | 计数器机 | 3 |
Q3006702 [CC | ] | Cubieboard | Cubieboard | 3 |
Q858065 [CC | ] | DEC Alpha | DEC Alpha | DEC 알파 | 3 |
Q334630 [CC | ] | data dependency | Data dependency | 数据依赖 | وابستگی داده | 3 |
Q845566 [CC | ] | debugging | Debugging | Depuração | 디버그 | 3 |
Q2689057 [CC | ] | dependability | Dependability | ディペンダビリティ | اطمینانپذیری | 3 |
Q62927 [CC | ] | digital camera | Digital camera | Digifotoaparaat | Цифровой фотоаппарат | 3 |
Q831677 [CC | ] | document management system | Document management system | 文書管理システム | سامانه مدیریت اسناد | 3 |
Q1335007 [CC | ] | embedded software | Embedded software | Software înglobat | 嵌入式軟體 | 3 |
Q1318054 [CC | ] | enterprise software | Enterprise software | 企業アプリケーション | نرمافزار سازمانی | 3 |
Q3782995 [CC | ] | Handel-C | Handel-C | 3 |
Q2661997 [CC | ] | hypercomputation | Hypercomputation | Hypercalcul | 하이퍼 계산 | 3 |
Q219320 [CC | ] | input/output | Input/output | Ulaz/izlaz | ورودی/خروجی | 3 |
Q3962 [CC | ] | laptop | Laptop | 3 |
Q2651693 [CC | ] | logic in computer science | Logic in computer science | منطق در علوم کامپیوتر | المنطق في علوم الحاسوب | 3 |
Q1425977 [CC | ] | machine vision | Machine vision | Mašinski vid | دید ماشینی | 3 |
Q183380 [CC | ] | memory buffer register | Memory buffer register | Registre Tampon Mémoire | 記憶體資料寄存器 | 3 |
Q6815651 [CC | ] | Memory-level parallelism | Memory-level parallelism | Паралелізм рівня пам'яті | 記憶體層級平行 | 3 |
Q1758389 [CC | ] | mixed reality | Mixed reality | 複合現実 | واقعیت ترکیبی | 3 |
Q5607 [CC | ] | modem | Modem | 3 |
Q12038942 [CC | ] | multimedia database | Multimedia database | پایگاه داده چند رسانهای | قواعد بيانات الوسائط المتعددة | 3 |
Q1978975 [CC | ] | network scheduler | Network scheduler | برنامهریز شبکه | مجدول الشبكة | 3 |
Q845173 [CC | ] | non-recurring engineering | Non-recurring engineering | Ingeniería no recurrente | 초기 개발비 | 3 |
Q2620192 [CC | ] | NovaThor | NovaThor | 3 |
Q3916732 [CC | ] | OpenVera | OpenVera | 3 |
Q130652 [CC | ] | package on a package | Package on a package | Package on package | Package-on-package | 3 |
Q1350360 [CC | ] | PandaBoard | PandaBoard | Panda Board | 3 |
Q3375344 [CC | ] | performance per watt | Performance per watt | 效能功耗比 | 전성비 | 3 |
Q141130 [CC | ] | photograph manipulation | Photograph manipulation | 写真編集 | تلاعب بالصور | 3 |
Q2574032 [CC | ] | Post–Turing machine | Post–Turing machine | 波斯特-图灵机 | ماشین پست-تورینگ | 3 |
Q7236367 [CC | ] | Power management integrated circuit | Power management integrated circuit | 電源管理IC | مدار مجتمع مدیریت نیرو | 3 |
Q7248509 [CC | ] | programming team | Programming team | تیم برنامهنویسی | فريق البرمجة | 3 |
Q17995793 [CC | ] | quantum computing | Quantum computing | Informatique quantique | কোয়ান্টাম কম্পিউটিং | 3 |
Q7271583 [CC | ] | Queue automaton | Queue automaton | Automate à file | ماشین صف | 3 |
Q3842021 [CC | ] | random-access stored-program machine | Random-access stored-program machine | 隨機存取儲存程式機 | ماشین برنامه ذخیرهشده با دسترسی تصادفی | 3 |
Q830687 [CC | ] | reinforcement learning | Reinforcement learning | 強化学習 | یادگیری تقویتی | 3 |
Q5318 [CC | ] | router | Router (computing) | Маршрутизатор | রাউটার | 3 |
Q20718 [CC | ] | Samsung Electronics | Samsung Electronics | 삼성전자 | 3 |
Q7445066 [CC | ] | Security service | Security service (telecommunication) | سرویس امنیتی (ارتباطات دور برد) | خدمة أمنية (اتصالات) | 3 |
Q208163 [CC | ] | signal processing | Signal processing | پردازش سیگنال | সংকেত প্রক্রিয়াজাতকরণ | 3 |
Q18359045 [CC | ] | SIMT | Single instruction, multiple threads | SIMT | یک دستور چند رشته | 3 |
Q2289219 [CC | ] | SPMD | Single program, multiple data | SPMD | یک برنامه چند داده | 3 |
Q25047934 [CC | ] | single-core processor | Single-core | 싱글 코어 | 3 |
Q615684 [CC | ] | social computing | Social computing | رایانش اجتماعی | حوسبة اجتماعية | 3 |
Q615985 [CC | ] | social software | Social software | نرمافزارهای اجتماعی | برمجية اجتماعية | 3 |
Q2495986 [CC | ] | Soft microprocessor | Soft microprocessor | Processeur softcore | 软核微处理器 | 3 |
Q613566 [CC | ] | software configuration management | Software configuration management | ソフトウェア構成管理 | مدیریت پیکربندی نرمافزار | 3 |
Q3398377 [CC | ] | software construction | Software construction | ساخت نرمافزار | بناء البرمجيات | 3 |
Q2297740 [CC | ] | software deployment | Software deployment | ソフトウェアデプロイメント | نشر البرمجيات | 3 |
Q1334294 [CC | ] | software repository | Software repository | مخزن نرمافزاری | مستودع برمجيات | 3 |
Q4343 [CC | ] | sound card | Sound card | Placă de sunet | کارت صدا | 3 |
Q1322850 [CC | ] | status register | Status register | Registre d'état | ثبات وضعیت | 3 |
Q1205020 [CC | ] | SuperH | SuperH | 슈퍼H | 3 |
Q229370 [CC | ] | three-dimensional integrated circuit | Three-dimensional integrated circuit | 三維晶片 | 3차원 집적 회로 | 3 |
Q2623746 [CC | ] | transistor count | Transistor count | 晶體管數量 | عدد ترانزیستور | 3 |
Q960616 [CC | ] | VAX | VAX | 3 |
Q267131 [CC | ] | semiconductor wafer | Wafer (electronics) | Wafer | ویفر (الکترونیک) | 3 |
Q390389 [CC | ] | Itanium | Itanium | ایتانیوم | 아이테니엄 | 3 |
Q2535967 [CC | ] | IAS machine | Machine IAS | IAS-машина | IAS 머신 | 3 |
Q182477 [CC | ] | Nvidia | Nvidia | NVIDIA | 엔비디아 | 3 |
Q565 [CC | ] | Wikimedia Commons | Wikimedia Commons | ויקישיתוף | 3 |
Q312 [CC | ] | Apple | Apple | Apple Inc. | 애플 | 3 |
Q863675 [CC | ] | Freescale Semiconductor | Freescale Semiconductor | 프리스케일 세미컨덕터 | 3 |
Q20716 [CC | ] | Samsung | Samsung | 3 |
Q150971 [CC | ] | computer graphics | コンピュータグラフィックス | Kompüter qrafikası | কম্পিউটার গ্রাফিক্স | 3 |
Q58778 [CC | ] | system | システム | System | 시스템 | 3 |
Q1649571 [CC | ] | process control | プロセス制御 | کنترل فرایند | التحكم في العمليات | 3 |
Q742323 [CC | ] | back-side bus | Back side bus | Back-side bus | 後端匯流排 | 3 |
Q213666 [CC | ] | real-time operating system | Hệ điều hành thời gian thực | Sistem de operare în timp real | 实时操作系统 | 3 |
Q488244 [CC | ] | Windows Embedded Compact | Windows Embedded Compact | Windows CE | 3 |
Q11016 [CC | ] | technology | Technológia | تقانة | Tecnología | 3 |
Q4262 [CC | ] | radio wave | Rádiové vlny | Radiogolf | موجة راديو | 3 |
Q1334829 [CC | ] | wearable computer | رایانه پوشیدنی | পরিধানযোগ্য কম্পিউটার | 착용 컴퓨터 | 3 |
Q570628 [CC | ] | ball grid array | Ball grid array | Ball Grid Array | 2 |
Q379390 [CC | ] | Advanced Boolean Expression Language | Advanced Boolean Equation Language | Advanced Boolean Expression Language | 2 |
Q3334448 [CC | ] | Nios embedded processor | Altera Nios | Nios embedded processor | 2 |
Q365870 [CC | ] | Antifuse | Antifuse-Technologie | Antifusibile | 2 |
Q555925 [CC | ] | Broadcom | Broadcom | 2 |
Q608776 [CC | ] | Cadence Design Systems | Cadence Design Systems | 2 |
Q1125244 [CC | ] | Logic block | Configurable Logic Block | Logic block | 2 |
Q478354 [CC | ] | Cypress Semiconductor | Cypress Semiconductor Corporation | 赛普拉斯半导体 | 2 |
Q83341 [CC | ] | liquid-crystal display | Flüssigkristallanzeige | Afișaj cu cristale lichide | 2 |
Q46904 [CC | ] | Global System for Mobile Communications | Global System for Mobile Communications | GSM | 2 |
Q622888 [CC | ] | Intel 80286 | Intel 80286 | اینتل ۸۰۲۸۶ | 2 |
Q15614370 [CC | ] | Intel Edison | Intel Edison | 2 |
Q15057406 [CC | ] | Intel Quark | Intel Quark | 2 |
Q1327576 [CC | ] | Multiply–accumulate operation | Multiply-Accumulate | Multiply–accumulate operation | 2 |
Q432492 [CC | ] | National Semiconductor | National Semiconductor | 國家半導體 | 2 |
Q190440 [CC | ] | parallel port | Parallele Schnittstelle | Cổng song song | 2 |
Q191012 [CC | ] | Peripheral Component Interconnect | Peripheral Component Interconnect | PCI | 2 |
Q1783476 [CC | ] | PicoBlaze | PicoBlaze | 2 |
Q751505 [CC | ] | Property Specification Language | Property Specification Language | 2 |
Q191895 [CC | ] | RS-232 | RS-232 | 2 |
Q592443 [CC | ] | Signetics | Signetics | 西格尼蒂克 | 2 |
Q836542 [CC | ] | Transmeta Corporation | Transmeta | 2 |
Q2598463 [CC | ] | Reconfigurable datapath array | Xputer | 2 |
Q787114 [CC | ] | abstract machine | Abstract machine | Machine abstraite | 2 |
Q4677436 [CC | ] | Actions Semiconductor | Actions Semiconductor | 2 |
Q367037 [CC | ] | Address decoder | Address decoder | 주소 디코더 | 2 |
Q1296251 [CC | ] | algorithmic efficiency | Algorithmic efficiency | كفاءة خوارزمية | 2 |
Q438833 [CC | ] | Alternating Turing machine | Alternating Turing machine | Machine de Turing alternante | 2 |
Q457396 [CC | ] | ambient intelligence | Ambient intelligence | هوش محدودهای | 2 |
Q29120 [CC | ] | Apache Hadoop | Apache Hadoop | 2 |
Q101439988 [CC | ] | Apple M1 | Apple M1 | 2 |
Q175957 [CC | ] | Arduino | Arduino | 2 |
Q2863283 [CC | ] | Arndale Board | Arndale Board | 2 |
Q214526 [CC | ] | automata theory | Automata theory | نظرية التشغيل الذاتي | 2 |
Q2246273 [CC | ] | CPU multiplier | CPU multiplier | Coefficient multiplicateur (informatique) | 2 |
Q386953 [CC | ] | Cellular architecture | Cellular architecture | معماری سلولی | 2 |
Q535695 [CC | ] | cellular network | Cellular network | Hücresel ağ | 2 |
Q97357731 [CC | ] | Chisel | Chisel (programming language) | Chisel | 2 |
Q21074989 [CC | ] | Comparison of instruction set architectures | Comparison of instruction set architectures | Порівняння архітектур систем команд | 2 |
Q40056 [CC | ] | computer program | Computer program | 컴퓨터 프로그램 | 2 |
Q3063477 [CC | ] | Cotton Candy | Cotton Candy (single-board computer) | Cotton Candy | 2 |
Q3676413 [CC | ] | cycles per instruction | Cycles per instruction | 指令平均周期数 | 2 |
Q837528 [CC | ] | deterministic finite automata | Deterministic finite automaton | Automate fini déterministe | 2 |
Q3153007 [CC | ] | distributed artificial intelligence | Distributed artificial intelligence | هوش مصنوعی توزیع شده | 2 |
Q189396 [CC | ] | dynamic random-access memory | Dynamic random-access memory | Dynamic Random Access Memory | 2 |
Q11651 [CC | ] | electric current | Electric current | Elektrik akımı | 2 |
Q2119531 [CC | ] | electrical connector | Electrical connector | 电子连接器 | 2 |
Q5432732 [CC | ] | False sharing | False sharing | 伪共享 | 2 |
Q600616 [CC | ] | Full custom | Full custom | Diseño a medida | 2 |
Q5508853 [CC | ] | Functional verification | Functional verification | 功能验证 | 2 |
Q184292 [CC | ] | High-Definition Multimedia Interface | HDMI | 2 |
Q3560677 [CC | ] | hardware verification language | Hardware verification language | 硬件验证语言 | 2 |
Q1616181 [CC | ] | Heterogeneous System Architecture | Heterogeneous System Architecture | 이기종 시스템 아키텍처 | 2 |
Q5754574 [CC | ] | High-level synthesis | High-level synthesis | 高级综合 | 2 |
Q5867906 [CC | ] | history of general purpose CPUs | History of general-purpose CPUs | 범용 CPU의 역사 | 2 |
Q82516 [CC | ] | i.MX | I.MX | 2 |
Q1361373 [CC | ] | IBM S/390 | IBM System/390 | 2 |
Q861004 [CC | ] | image processor | Image processor | Processeur d'images | 2 |
Q843225 [CC | ] | Altera Quartus | Intel Quartus Prime | 2 |
Q131257 [CC | ] | intellectual property | Intellectual property | Immaterialrätt | 2 |
Q6652737 [CC | ] | Little man computer | Little man computer | 2 |
Q25325220 [CC | ] | many-core processor | Manycore processor | پردازندههای بیش هستهای | 2 |
Q754940 [CC | ] | mean time between failures | Mean time between failures | میانگین زمان بین خرابی | 2 |
Q583538 [CC | ] | memory address register | Memory address register | Registre d'Adresse Mémoire | 2 |
Q109883811 [CC | ] | Memory dependence prediction | Memory dependence prediction | پیشبینی وابستگی به حافظه | 2 |
Q1545076 [CC | ] | Microchip Technology | Microchip Technology | 2 |
Q2967178 [CC | ] | Microprocessor chronology | Microprocessor chronology | Chronologie des microprocesseurs | 2 |
Q5082128 [CC | ] | mobile device | Mobile device | Dispozitiv mobil | 2 |
Q6887219 [CC | ] | mobile processor | Mobile processor | 모바일 프로세서 | 2 |
Q3317826 [CC | ] | ModelSim | ModelSim | 2 |
Q937498 [CC | ] | Motorola 68000 family | Motorola 68000 series | Famille Motorola 68000 | 2 |
Q975106 [CC | ] | MPSoC | Multiprocessor system on a chip | MPSoC | 2 |
Q165233 [CC | ] | network card | Network interface controller | Placă de rețea | 2 |
Q7001161 [CC | ] | network performance | Network performance | أداء الشبكة | 2 |
Q1640628 [CC | ] | network service | Network service | خدمة (شبكات) | 2 |
Q1981312 [CC | ] | neuromorphic engineering | Neuromorphic engineering | نورومورفیک | 2 |
Q438281 [CC | ] | Nios II | Nios II | 2 |
Q1190223 [CC | ] | non-deterministic Turing machine | Nondeterministic Turing machine | Machine de Turing non déterministe | 2 |
Q851141 [CC | ] | OpenCores | OpenCores | 2 |
Q7112594 [CC | ] | Outline of computer science | Outline of computer science | مختصر موجز لعلم الحاسوب | 2 |
Q16338 [CC | ] | personal computer | Personal computer | പെഴ്സണൽ കമ്പ്യൂട്ടർ | 2 |
Q130985 [CC | ] | pin grid array | Pin grid array | Matrice de broches | 2 |
Q3390760 [CC | ] | Place and route | Place and route | 배치 및 배선 | 2 |
Q1191836 [CC | ] | probabilistic Turing machine | Probabilistic Turing machine | Machine de Turing probabiliste | 2 |
Q591707 [CC | ] | processor core | Processor core | Ядро микропроцессора | 2 |
Q2122210 [CC | ] | quantum circuit | Quantum circuit | 量子線路 | 2 |
Q7294736 [CC | ] | Rascal | Rascal (single-board computer) | Rascal (bir-lövhəli kompüter) | 2 |
Q3646603 [CC | ] | Re-order buffer | Re-order buffer | 重排序缓冲区 | 2 |
Q1484552 [CC | ] | Register-transfer level | Register-transfer level | 寄存器传输级 | 2 |
Q7309357 [CC | ] | Register memory architecture | Register–memory architecture | معماری حافظه ثبات | 2 |
Q1088863 [CC | ] | reservation station | Reservation station | 保留站 | 2 |
Q1187307 [CC | ] | Rockchip | Rockchip | Fuzhou Rockchip | 2 |
Q3460296 [CC | ] | SWAR | SWAR | 2 |
Q7439366 [CC | ] | scrypt | Scrypt | اسکریپت (رمزنگاری) | 2 |
Q81230 [CC | ] | Siemens | Siemens | Siemens (azienda) | 2 |
Q5362345 [CC | ] | smartwatch | Smartwatch | Умные часы | 2 |
Q16992809 [CC | ] | Snowball | Snowball (single-board computer) | Snowball (bir-lövhəli kompüter) | 2 |
Q80993 [CC | ] | software engineering | Software engineering | Proqram təminatı mühəndisliyi | 2 |
Q940053 [CC | ] | software maintenance | Software maintenance | صيانة البرمجيات | 2 |
Q2991685 [CC | ] | solid modeling | Solid modeling | ソリッドモデリング | 2 |
Q7595963 [CC | ] | stack register | Stack register | ثبات پشتهای | 2 |
Q464496 [CC | ] | standard cell | Standard cell | 표준셀 | 2 |
Q1134867 [CC | ] | stored-program computer | Stored-program computer | Ordinateur à programme enregistré | 2 |
Q7663609 [CC | ] | SystemVerilog DPI | SystemVerilog DPI | 2 |
Q2377218 [CC | ] | systolic array | Systolic array | Réseau systolique | 2 |
Q2384809 [CC | ] | TRIPS architecture | TRIPS architecture | TRIPS (архитектура процессора) | 2 |
Q926498 [CC | ] | Tape-out | Tape-out | 下線 | 2 |
Q209867 [CC | ] | telemetry | Telemetry | Telemetrija | 2 |
Q2878974 [CC | ] | theoretical computer science | Theoretical computer science | علم الحاسوب النظري | 2 |
Q1366099 [CC | ] | Tick–tock model | Tick–tock model | Intel Tick-Tock | 2 |
Q1936765 [CC | ] | uniform memory access | Uniform memory access | 均匀访存模型 | 2 |
Q24256219 [CC | ] | Universal Synchronous/Asynchronous Receiver/Transmitter | Universal synchronous and asynchronous receiver-transmitter | USART | 2 |
Q2744740 [CC | ] | VHDL-AMS | VHDL-AMS | 2 |
Q7921338 [CC | ] | Verilog-A | Verilog-A | 2 |
Q1971841 [CC | ] | Verilog-AMS | Verilog-AMS | 2 |
Q270471 [CC | ] | virtualization | Virtualization | مجازیسازی | 2 |
Q25220741 [CC | ] | Xilinx Vivado | Vivado | Xilinx Vivado | 2 |
Q25428 [CC | ] | voltage | Voltage | Gerilim (elektrik) | 2 |
Q18168774 [CC | ] | Windows 10 | Windows 10 | 2 |
Q249798 [CC | ] | Wolters Kluwer | Wolters Kluwer | 2 |
Q3569783 [CC | ] | WonderMedia | WonderMedia | 2 |
Q8044441 [CC | ] | Xilinx ISE | Xilinx ISE | 2 |
Q8062893 [CC | ] | z/Architecture | Z/Architecture | System z | 2 |
Q217483 [CC | ] | IDE | IDE | 2 |
Q176555 [CC | ] | quantum computer | Kvantarvuti | 양자 컴퓨터 | 2 |
Q192969 [CC | ] | multitasking | Multitegumtöö | Multitâche | 2 |
Q522906 [CC | ] | Atanasoff–Berry Computer | Atanasoff–Berry Computer | 아타나소프-베리 컴퓨터 | 2 |
Q17152858 [CC | ] | semiconductor package | Boîtier de circuit intégré | Package (elettronica) | 2 |
Q67185243 [CC | ] | instruction set | Jeu d'instructions | 命令セット | 2 |
Q178677 [CC | ] | symmetric multiprocessing | Symmetric multiprocessing | 대칭형 다중 처리 | 2 |
Q222419 [CC | ] | Z3 | Zuse 3 | Z3 (컴퓨터) | 2 |
Q492 [CC | ] | memory | זיכרון | Memoria | 2 |
Q102786 [CC | ] | abbreviation | Abbreviazione | 縮寫 | 2 |
Q484930 [CC | ] | Analog Devices | Analog Devices | 2 |
Q2347731 [CC | ] | Axis Communications | Axis Communications | 2 |
Q1067998 [CC | ] | Cirrus Logic | Cirrus Logic | 2 |
Q1191473 [CC | ] | generic array logic | Generic Array Logic | 通用阵列逻辑 | 2 |
Q1418 [CC | ] | Nokia | Nokia | 2 |
Q830445 [CC | ] | northbridge | Northbridge | 노스브리지 | 2 |
Q585208 [CC | ] | southbridge | Southbridge (elettronica) | 사우스브리지 | 2 |
Q3271413 [CC | ] | 18-bit computing | 18ビット | معمارية 18 بت | 2 |
Q3269749 [CC | ] | 31-bit computing | 31ビット | معمارية 31 بت | 2 |
Q3271378 [CC | ] | 36-bit computing | 36ビット | معمارية 36 بت | 2 |
Q877055 [CC | ] | crystal oscillator | Кварцевый генератор | Кварцовий генератор | 2 |
Q11140433 [CC | ] | primary memory | Оперативная память | Оперативна памет | 2 |
Q154755 [CC | ] | Ada | Ada (ngôn ngữ lập trình) | Ada | 2 |
Q234006 [CC | ] | Analog | Analog | 2 |
Q165436 [CC | ] | assembly language | Hợp ngữ | 汇编语言 | 2 |
Q173285 [CC | ] | digital data | Kỹ thuật số | Digital | 2 |
Q1134817 [CC | ] | rooting | Root (Android) | 2 |
Q581105 [CC | ] | consumer electronics | Điện tử tiêu dùng | 消費電子產品 | 2 |
Q48493 [CC | ] | iOS | IOS | İOS | 2 |
Q872 [CC | ] | radio communications | Radyo | Radiocomunicación | 2 |
Q2397719 [CC | ] | Reliability | Etibarlılıq | নির্ভরযোগ্যতা | 2 |
Q118455746 [CC | ] | authority control | Normativ yoxlama | Control de autoridades | 2 |
Q2695280 [CC | ] | technique | Tekniikka | 기술 | 2 |
Q160120 [CC | ] | Huawei | Huawei | 화웨이 | 2 |
Q140944 [CC | ] | Samsung Galaxy S III | Samsung Galaxy S III | 2 |
Q4439 [CC | ] | hard disk | ഹാർഡ് ഡിസ്ക് ഡ്രൈവ് | درایو دیسک سخت | 2 |
Q483639 [CC | ] | cloud computing | ক্লাউড কম্পিউটিং | 클라우드 컴퓨팅 | 2 |
Q1341206 [CC | ] | hybrid computer | হাইব্রিড কম্পিউটার | 하이브리드 컴퓨터 | 2 |
Q774740 [CC | ] | Bus | Bus | 1 |
Q115939849 [CC | ] | No label in en! | Depuració | 1 |
Q317623 [CC | ] | technical standard | Estàndard tècnic | 1 |
Q21097686 [CC | ] | standardization | Normalització | 1 |
Q44782 [CC | ] | port | Port | 1 |
Q289839 [CC | ] | AC'97 | AC’97 | 1 |
Q296665 [CC | ] | ARINC 429 | ARINC 429 | 1 |
Q230360 [CC | ] | Parallel ATA | ATA/ATAPI | 1 |
Q240333 [CC | ] | Actel | Actel | 1 |
Q629872 [CC | ] | arbiter | Arbiter | 1 |
Q787422 [CC | ] | automation technology | Automatisierungstechnik | 1 |
Q221329 [CC | ] | avionics | Avionik | 1 |
Q587346 [CC | ] | sleep mode | Bereitschaftsbetrieb | 1 |
Q43177802 [CC | ] | Bitmain | Bitmain | 1 |
Q678615 [CC | ] | CompactFlash | CompactFlash | 1 |
Q964035 [CC | ] | Computer History Museum | Computer History Museum | 1 |
Q728183 [CC | ] | CAN bus | Controller Area Network | 1 |
Q57515336 [CC | ] | data storage on medium | Datenspeicher | 1 |
Q193231 [CC | ] | debugger | Debugger | 1 |
Q56155 [CC | ] | desktop computer | Desktop-Computer | 1 |
Q337765 [CC | ] | Digital Visual Interface | Digital Visual Interface | 1 |
Q862594 [CC | ] | real-time clock | Echtzeituhr | 1 |
Q1347981 [CC | ] | erasable programmable logic device | Erasable Programmable Logic Device | 1 |
Q378210 [CC | ] | erasable programmable read-only memory | Erasable Programmable Read-Only Memory | 1 |
Q2646951 [CC | ] | functional safety | Funktionale Sicherheit | 1 |
Q339084 [CC | ] | gadget | Gadget | 1 |
Q865422 [CC | ] | game controller | Gamecontroller | 1 |
Q1147660 [CC | ] | computer display standard | Grafikstandard | 1 |
Q1333980 [CC | ] | head-mounted display | Head-Mounted Display | 1 |
Q37156 [CC | ] | IBM | IBM | 1 |
Q763131 [CC | ] | IEEE 1284 | IEEE 1284 | 1 |
Q850364 [CC | ] | Java processor | Java-Prozessor | 1 |
Q1714089 [CC | ] | JHDL | Just Another Hardware Definition Language | 1 |
Q475966 [CC | ] | low-voltage differential signaling | Low Voltage Differential Signaling | 1 |
Q1881711 [CC | ] | MOST Bus | MOST-Bus | 1 |
Q2620412 [CC | ] | Mali | Mali (GPU) | 1 |
Q3295108 [CC | ] | medium access control | Media Access Control | 1 |
Q327092 [CC | ] | biomedical engineering | Medizintechnik | 1 |
Q1922526 [CC | ] | instrumentation and control engineering | Mess-, Steuerungs- und Regelungstechnik | 1 |
Q6840048 [CC | ] | Microsemi | Microsemi | 1 |
Q1942300 [CC | ] | modulator | Modulator | 1 |
Q11273 [CC | ] | Moving Picture Experts Group | Moving Picture Experts Group | 1 |
Q9300811 [CC | ] | One Time Programmable | One Time Programmable | 1 |
Q39162 [CC | ] | open source | Open Source | 1 |
Q923055 [CC | ] | PHY | PHY | 1 |
Q125391054 [CC | ] | No label in en! | Peripherie | 1 |
Q750463 [CC | ] | phase modulation | Phasenmodulation | 1 |
Q857815 [CC | ] | plug and play | Plug and Play | 1 |
Q1756494 [CC | ] | programmable interrupt controller | Programmable Interrupt Controller | 1 |
Q749753 [CC | ] | quadrature amplitude modulation | Quadraturamplitudenmodulation | 1 |
Q352457 [CC | ] | Secure Digital Music Initiative | Secure Digital Music Initiative | 1 |
Q385390 [CC | ] | serial port | Serielle Schnittstelle | 1 |
Q2293974 [CC | ] | No label in en! | Sleep-Modus | 1 |
Q1331892 [CC | ] | software-defined radio | Software Defined Radio | 1 |
Q1418253 [CC | ] | sound chip | Soundchip | 1 |
Q9158768 [CC | ] | storage | Speicher | 1 |
Q2362275 [CC | ] | substrate | Substrat (Materialwissenschaft) | 1 |
Q2377054 [CC | ] | system bus | Systembus | 1 |
Q855479 [CC | ] | TDK | TDK | 1 |
Q1071233 [CC | ] | terminal emulator | Terminalemulation | 1 |
Q122966020 [CC | ] | Entertainment system | Unterhaltungselektronik | 1 |
Q17194 [CC | ] | Video Graphics Array | Video Graphics Array | 1 |
Q1334191 [CC | ] | watchdog timer | Watchdog | 1 |
Q133493 [CC | ] | XScale | XScale | 1 |
Q185962 [CC | ] | Zeitgeber | Zeitgeber | 1 |
Q4639999 [CC | ] | 512-bit computing | 512-bit computing | 1 |
Q109775 [CC | ] | 6LoWPAN | 6LoWPAN | 1 |
Q288530 [CC | ] | ACM Computing Classification System | ACM Computing Classification System | 1 |
Q119438248 [CC | ] | AMD Élan | AMD Élan | 1 |
Q22041439 [CC | ] | ARC | ARC (processor) | 1 |
Q2902093 [CC | ] | ARM big.LITTLE | ARM big.LITTLE | 1 |
Q4033234 [CC | ] | Accellera | Accellera | 1 |
Q14944944 [CC | ] | Achronix | Achronix | 1 |
Q41357 [CC | ] | Acorn Archimedes | Acorn Archimedes | 1 |
Q342149 [CC | ] | Acorn Computers | Acorn Computers | 1 |
Q423488 [CC | ] | actuator | Actuator | 1 |
Q4033684 [CC | ] | Aldec | Aldec | 1 |
Q2971515 [CC | ] | algorithm design | Algorithm design | 1 |
Q292803 [CC | ] | Altera Hardware Description Language | Altera Hardware Description Language | 1 |
Q101509367 [CC | ] | Apple A series | Apple A series | 1 |
Q406283 [CC | ] | Apple silicon | Apple silicon | 1 |
Q2047632 [CC | ] | Application domain | Application domain | 1 |
Q621751 [CC | ] | approximation algorithm | Approximation algorithm | 1 |
Q4787220 [CC | ] | Architecture tradeoff analysis method | Architecture tradeoff analysis method | 1 |
Q28945238 [CC | ] | Asus Tinker Board | Asus Tinker Board | 1 |
Q752718 [CC | ] | asymptotic analysis | Asymptotic analysis | 1 |
Q540672 [CC | ] | Auburn University | Auburn University | 1 |
Q4918661 [CC | ] | bit-serial architecture | Bit-serial architecture | 1 |
Q875276 [CC | ] | boolean satisfiability problem | Boolean satisfiability problem | 1 |
Q845757 [CC | ] | bottleneck | Bottleneck (engineering) | 1 |
Q1089439 [CC | ] | branch target predictor | Branch target predictor | 1 |
Q4956437 [CC | ] | Branching random walk | Branching random walk | 1 |
Q4827416 [CC | ] | Broadcom Inc. | Broadcom | 1 |
Q5001209 [CC | ] | Bus contention | Bus contention | 1 |
Q5015143 [CC | ] | C to HDL | C to HDL | 1 |
Q2407 [CC | ] | C++ | C++ | 1 |
Q21233134 [CC | ] | CHIP | CHIP (computer) | 1 |
Q28404172 [CC | ] | cache hierarchy | Cache hierarchy | 1 |
Q28456000 [CC | ] | Cache performance measurement and metric | Cache performance measurement and metric | 1 |
Q18205765 [CC | ] | Camera Serial Interface | Camera Serial Interface | 1 |
Q5051574 [CC | ] | catastrophic failure | Catastrophic failure | 1 |
Q67060427 [CC | ] | chiplet | Chiplet | 1 |
Q5121567 [CC | ] | circuit | Circuit (computer science) | 1 |
Q19605447 [CC | ] | Circuit underutilization | Circuit underutilization | 1 |
Q17163118 [CC | ] | Classic RISC pipeline | Classic RISC pipeline | 1 |
Q304443 [CC | ] | Clipper architecture | Clipper architecture | 1 |
Q2164847 [CC | ] | clock generator | Clock generator | 1 |
Q1333872 [CC | ] | combinatorial optimization | Combinatorial optimization | 1 |
Q577764 [CC | ] | communications system | Communications system | 1 |
Q1121462 [CC | ] | compiler construction | Compiler construction | 1 |
Q5157286 [CC | ] | computational complexity | Computational complexity | 1 |
Q7100763 [CC | ] | orders of magnitude (computer performance) | Computer performance by orders of magnitude | 1 |
Q25203375 [CC | ] | control variable | Control variable (programming) | 1 |
Q210857 [CC | ] | convolution | Convolution | 1 |
Q5190939 [CC | ] | cryptographic accelerator | Cryptographic accelerator | 1 |
Q3648857 [CC | ] | Custom hardware attack | Custom hardware attack | 1 |
Q1988917 [CC | ] | data analysis | Data analysis | 1 |
Q4929239 [CC | ] | data collection | Data collection | 1 |
Q5227334 [CC | ] | data processing unit | Data processing unit | 1 |
Q931942 [CC | ] | Design flow | Design flow (EDA) | 1 |
Q586242 [CC | ] | DirectX Video Acceleration | DirectX Video Acceleration | 1 |
Q898440 [CC | ] | dissipation | Dissipation | 1 |
Q1054686 [CC | ] | Distributed memory | Distributed memory | 1 |
Q5324777 [CC | ] | e | E (verification language) | 1 |
Q5322946 [CC | ] | EE Times | EE Times | 1 |
Q5323297 [CC | ] | ELLA | ELLA (programming language) | 1 |
Q1276125 [CC | ] | ETRAX CRIS | ETRAX CRIS | 1 |
Q27137 [CC | ] | electric power | Electric power | 1 |
Q1319010 [CC | ] | electromigration | Electromigration | 1 |
Q5358364 [CC | ] | electronic hardware | Electronic hardware | 1 |
Q5426992 [CC | ] | FPGA prototyping | FPGA prototyping | 1 |
Q5428126 [CC | ] | Fabric computing | Fabric computing | 1 |
Q623950 [CC | ] | Fast Fourier transform | Fast Fourier transform | 1 |
Q17013480 [CC | ] | Field programmable object array | Field-programmable object array | 1 |
Q5450406 [CC | ] | Finite state machine with datapath | Finite-state machine with datapath | 1 |
Q1553985 [CC | ] | Floorplan#Floorplanning | Floorplan (microelectronics) | 1 |
Q5462113 [CC | ] | Flow to HDL | Flow to HDL | 1 |
Q5532874 [CC | ] | genetic algorithm scheduling | Genetic algorithm scheduling | 1 |
Q385200 [CC | ] | Glue logic | Glue logic | 1 |
Q1366289 [CC | ] | graphics pipeline | Graphics pipeline | 1 |
Q2686167 [CC | ] | Gumstix | Gumstix | 1 |
Q5656406 [CC | ] | Hardware emulation | Hardware emulation | 1 |
Q2467587 [CC | ] | hardware random number generator | Hardware random number generator | 1 |
Q30325435 [CC | ] | hardware security | Hardware security | 1 |
Q5684877 [CC | ] | Hawkboard | Hawkboard | 1 |
Q1478382 [CC | ] | heat flux density | Heat flux | 1 |
Q5693275 [CC | ] | Heat generation in integrated circuits | Heat generation in integrated circuits | 1 |
Q1981968 [CC | ] | heuristic | Heuristic (computer science) | 1 |
Q3135124 [CC | ] | HiSilicon | HiSilicon | 1 |
Q211496 [CC | ] | high-level programming language | High-level programming language | 1 |
Q28456370 [CC | ] | Hypercube | Hypercube internetwork topology | 1 |
Q13637178 [CC | ] | IBM POWER | IBM POWER architecture | 1 |
Q16927945 [CC | ] | IBM System/360 architecture | IBM System/360 architecture | 1 |
Q1586139 [CC | ] | IBM System/370 | IBM System/370 | 1 |
Q7514933 [CC | ] | SiliconBlue Technologies | ICE (FPGA) | 1 |
Q5970964 [CC | ] | IGEPv2 | IGEPv2 | 1 |
Q327008 [CC | ] | image processing | Image processing | 1 |
Q6008583 [CC | ] | in-memory processing | In-memory processing | 1 |
Q6041767 [CC | ] | Instruction unit | Instruction unit | 1 |
Q80091 [CC | ] | integral | Integral | 1 |
Q6043037 [CC | ] | Integrated circuit development | Integrated circuit development | 1 |
Q2624187 [CC | ] | integrated circuit layout | Integrated circuit layout | 1 |
Q15726709 [CC | ] | Intel Galileo | Intel Galileo | 1 |
Q871913 [CC | ] | interchangeable parts | Interchangeable parts | 1 |
Q81414 [CC | ] | Internet protocol suite | Internet protocol suite | 1 |
Q750469 [CC | ] | I²C bus | I²C | 1 |
Q13410262 [CC | ] | Jaguar | Jaguar (microarchitecture) | 1 |
Q6165044 [CC | ] | Java optimized processor | Java Optimized Processor | 1 |
Q869101 [CC | ] | LPDDR | LPDDR | 1 |
Q79703 [CC | ] | Long Term Evolution | LTE (telecommunication) | 1 |
Q633261 [CC | ] | lab-on-a-chip | Lab-on-a-chip | 1 |
Q1771903 [CC | ] | latency | Latency (engineering) | 1 |
Q24702384 [CC | ] | LattePanda | LattePanda | 1 |
Q3218554 [CC | ] | LatticeMico32 | LatticeMico32 | 1 |
Q3218555 [CC | ] | LatticeMico8 | LatticeMico8 | 1 |
Q106880824 [CC | ] | Libre-SOC | Libre-SOC | 1 |
Q1051139 [CC | ] | Linaro | Linaro | 1 |
Q6603465 [CC | ] | list of Verilog simulators | List of HDL simulators | 1 |
Q104855002 [CC | ] | list of MediaTek processors | List of MediaTek systems on chips | 1 |
Q6642101 [CC | ] | list of system-on-a-chip suppliers | List of system on a chip suppliers | 1 |
Q617388 [CC | ] | Little's Law | Little's law | 1 |
Q6663274 [CC | ] | load/store architecture | Load–store architecture | 1 |
Q6663276 [CC | ] | Load-store unit | Load–store unit | 1 |
Q1868547 [CC | ] | locality of reference | Locality of reference | 1 |
Q173198 [CC | ] | logic synthesis | Logic synthesis | 1 |
Q6668799 [CC | ] | Lola | Lola (computing) | 1 |
Q6692774 [CC | ] | Low-power electronics | Low-power electronics | 1 |
Q6713752 [CC | ] | M32R | M32R | 1 |
Q169478 [CC | ] | MATLAB | MATLAB | 1 |
Q3841338 [CC | ] | MIPS-X | MIPS-X | 1 |
Q176645 [CC | ] | Markov chain | Markov chain | 1 |
Q189136 [CC | ] | mean value theorem | Mean value theorem | 1 |
Q6805986 [CC | ] | media processor | Media processor | 1 |
Q48995598 [CC | ] | Memory latency | Memory latency | 1 |
Q25552104 [CC | ] | mesh networking | Mesh networking | 1 |
Q2409965 [CC | ] | metastability in electronics | Metastability (electronics) | 1 |
Q32738 [CC | ] | microcomputer | Microcomputer | 1 |
Q1271842 [CC | ] | miniaturization | Miniaturization | 1 |
Q912009 [CC | ] | modularity | Modularity | 1 |
Q2052203 [CC | ] | multi-objective optimization | Multi-objective optimization | 1 |
Q6934509 [CC | ] | multi-task learning | Multi-task learning | 1 |
Q1895805 [CC | ] | multiple-criteria decision analysis | Multiple-criteria decision analysis | 1 |
Q6944826 [CC | ] | MyHDL | MyHDL | 1 |
Q215206 [CC | ] | NP-complete | NP-completeness | 1 |
Q1137554 [CC | ] | NP-hardness | NP-hardness | 1 |
Q119285793 [CC | ] | Nano Pi | Nano Pi | 1 |
Q273353 [CC | ] | near-field communication | Near-field communication | 1 |
Q178470 [CC | ] | netbook | Netbook | 1 |
Q1760303 [CC | ] | Netlist | Netlist | 1 |
Q208074 [CC | ] | network layer | Network layer | 1 |
Q145490 [CC | ] | network topology | Network topology | 1 |
Q3505209 [CC | ] | Nokia 9000 Communicator | Nokia 9000 Communicator | 1 |
Q7048425 [CC | ] | Nomadik | Nomadik | 1 |
Q30593985 [CC | ] | Nvidia Jetson | Nvidia Jetson | 1 |
Q4249068 [CC | ] | ODROID | ODROID | 1 |
Q15123384 [CC | ] | OLinuXino | OLinuXino | 1 |
Q41591 [CC | ] | Ohm's law | Ohm's law | 1 |
Q159172 [CC | ] | open hardware | Open-source hardware | 1 |
Q97174946 [CC | ] | OpenPOWER Microwatt | OpenPOWER Microwatt | 1 |
Q7095862 [CC | ] | OpenRISC 1200 | OpenRISC 1200 | 1 |
Q7096675 [CC | ] | Operand forwarding | Operand forwarding | 1 |
Q7101746 [CC | ] | organ-on-a-chip | Organ-on-a-chip | 1 |
Q205198 [CC | ] | orthogonal instruction set | Orthogonal instruction set | 1 |
Q7118841 [CC | ] | PDP-11 architecture | PDP-11 architecture | 1 |
Q193446 [CC | ] | packet switching | Packet switching | 1 |
Q7134969 [CC | ] | Parallel Processing | Parallel processing (DSP implementation) | 1 |
Q36829 [CC | ] | Pareto efficiency | Pareto efficiency | 1 |
Q3068508 [CC | ] | passive cooling | Passive cooling | 1 |
Q13426855 [CC | ] | Physical design (electronics) | Physical design (electronics) | 1 |
Q28132320 [CC | ] | Pine64 | Pine64 | 1 |
Q1192422 [CC | ] | pipeline | Pipeline (computing) | 1 |
Q7202262 [CC | ] | Platform-based design | Platform-based design | 1 |
Q7208369 [CC | ] | pointer machine | Pointer machine | 1 |
Q7233582 [CC | ] | Post-silicon validation | Post-silicon validation | 1 |
Q25342 [CC | ] | power | Power (physics) | 1 |
Q65090442 [CC | ] | Power ISA | Power ISA | 1 |
Q7236366 [CC | ] | Power Management Unit | Power Management Unit | 1 |
Q3503313 [CC | ] | power density | Power density | 1 |
Q7236171 [CC | ] | power-on reset | Power-on reset | 1 |
Q7250432 [CC | ] | proprietary hardware | Proprietary hardware | 1 |
Q16801032 [CC | ] | Puma | Puma (microarchitecture) | 1 |
Q4893239 [CC | ] | Quantum cellular automata | Quantum cellular automaton | 1 |
Q847526 [CC | ] | queueing theory | Queueing theory | 1 |
Q7281183 [CC | ] | radio modem | Radio modem | 1 |
Q7310987 [CC | ] | Reliability | Reliability (semiconductor) | 1 |
Q376937 [CC | ] | requirements engineering | Requirements engineering | 1 |
Q276099 [CC | ] | research and development | Research and development | 1 |
Q22725 [CC | ] | routing | Routing | 1 |
Q18391803 [CC | ] | SUPS | SUPS | 1 |
Q493064 [CC | ] | Samsung Galaxy | Samsung Galaxy | 1 |
Q1123036 [CC | ] | scheduler | Scheduling (computing) | 1 |
Q1242829 [CC | ] | scoreboarding | Scoreboarding | 1 |
Q3928159 [CC | ] | Scratchpad memory | Scratchpad memory | 1 |
Q2798820 [CC | ] | security hacker | Security hacker | 1 |
Q4168959 [CC | ] | semiconductor fabrication plant | Semiconductor fabrication plant | 1 |
Q2986369 [CC | ] | semiconductor industry | Semiconductor industry | 1 |
Q1143031 [CC | ] | semiconductor memory | Semiconductor memory | 1 |
Q1172305 [CC | ] | shared resource | Shared resource | 1 |
Q7512993 [CC | ] | Signoff | Signoff (electronic design automation) | 1 |
Q124803458 [CC | ] | Simultaneous and heterogeneous multithreading | Simultaneous and heterogeneous multithreading | 1 |
Q17157301 [CC | ] | single-board microcontroller | Single-board microcontroller | 1 |
Q11253473 [CC | ] | smart device | Smart device | 1 |
Q7544087 [CC | ] | Smart transducer | Smart transducer | 1 |
Q7575343 [CC | ] | speculative multithreading | Speculative multithreading | 1 |
Q1549489 [CC | ] | speedup | Speedup | 1 |
Q30671663 [CC | ] | Stanford MIPS | Stanford MIPS | 1 |
Q55527808 [CC | ] | Stochastic scheduling | Stochastic scheduling | 1 |
Q22074755 [CC | ] | Stratix | Stratix | 1 |
Q7623446 [CC | ] | Stress migration | Stress migration | 1 |
Q7636884 [CC | ] | Sum addressed decoder | Sum-addressed decoder | 1 |
Q1665453 [CC | ] | system integration | System integration | 1 |
Q3750474 [CC | ] | systems design | Systems design | 1 |
Q7393020 [CC | ] | TLS acceleration | TLS acceleration | 1 |
Q1365224 [CC | ] | task | Task (computing) | 1 |
Q1053879 [CC | ] | thermal design power | Thermal design power | 1 |
Q15477170 [CC | ] | thermal management of electronic devices and systems | Thermal management (electronics) | 1 |
Q7802117 [CC | ] | Tile processor | Tile processor | 1 |
Q673213 [CC | ] | time to live | Time to live | 1 |
Q7827452 [CC | ] | torus interconnect | Torus interconnect | 1 |
Q2445479 [CC | ] | total cost of ownership | Total cost of ownership | 1 |
Q638123 [CC | ] | trade-off | Trade-off | 1 |
Q8803 [CC | ] | Transmission Control Protocol | Transmission Control Protocol | 1 |
Q12056602 [CC | ] | tree topology | Tree network | 1 |
Q495122 [CC | ] | Ultra-low-voltage processor | Ultra-low-voltage processor | 1 |
Q7884761 [CC | ] | Unicore | Unicore | 1 |
Q13418096 [CC | ] | IEEE 1801-2009 | Unified Power Format | 1 |
Q826138 [CC | ] | Universal Flash Storage | Universal Flash Storage | 1 |
Q25303819 [CC | ] | VHDL-VITAL | VHDL-VITAL | 1 |
Q105580615 [CC | ] | VISC architecture | VISC architecture | 1 |
Q2919644 [CC | ] | verification and validation | Verification and validation | 1 |
Q25305146 [CC | ] | Verilog-to-Routing | Verilog-to-Routing | 1 |
Q2631256 [CC | ] | Video quality | Video quality | 1 |
Q20741011 [CC | ] | Virtex (FPGA) | Virtex (FPGA) | 1 |
Q288706 [CC | ] | waste heat | Waste heat | 1 |
Q25099897 [CC | ] | Wide-issue | Wide-issue | 1 |
Q750783 [CC | ] | Wire bonding | Wire bonding | 1 |
Q8038418 [CC | ] | write buffer | Write buffer | 1 |
Q272629 [CC | ] | x86-64 | X86-64 | 1 |
Q2072061 [CC | ] | Zeno machine | Zeno machine | 1 |
Q25205515 [CC | ] | Zet | Zet (hardware) | 1 |
Q1251174 [CC | ] | Transmeta Crusoe | Crusoe | 1 |
Q1186660 [CC | ] | Inverse multiplexer | Demultipleksor | 1 |
Q65269694 [CC | ] | No label in en! | Kiip | 1 |
Q11468 [CC | ] | nanotechnology | Nanotehnoloogia | 1 |
Q166142 [CC | ] | application | Rakendustarkvara | 1 |
Q294956 [CC | ] | AMD Accelerated Processing Unit | AMD APU | 1 |
Q2819366 [CC | ] | ARM Cortex-A | ARM Cortex-A | 1 |
Q338702 [CC | ] | accelerated processing unit | Accelerated processing unit | 1 |
Q173183 [CC | ] | boolean algebra | Algèbre de Boole (logique) | 1 |
Q62621 [CC | ] | Canon Inc. | Canon (entreprise) | 1 |
Q863823 [CC | ] | Cell | Cell (processeur) | 1 |
Q629971 [CC | ] | asynchronous circuit | Circuit asynchrone | 1 |
Q1186179 [CC | ] | synchronous circuit | Circuit synchrone | 1 |
Q1153651 [CC | ] | DIGIC | DIGIC | 1 |
Q27921668 [CC | ] | ESP32 | ESP32 | 1 |
Q21094865 [CC | ] | ESP8266 | ESP8266 | 1 |
Q5420993 [CC | ] | Expeed | EXPEED | 1 |
Q1077724 [CC | ] | execution | Exécution (informatique) | 1 |
Q220543 [CC | ] | queue | File (structure de données) | 1 |
Q11631986 [CC | ] | logical operator | Fonction logique | 1 |
Q925783 [CC | ] | instruction | Instruction informatique | 1 |
Q324536 [CC | ] | Intel Atom | Intel Atom | 1 |
Q1097357 [CC | ] | Intel Core | Intel Core | 1 |
Q1428079 [CC | ] | International Technology Roadmap for Semiconductors | International Technology Roadmap for Semiconductors | 1 |
Q3256560 [CC | ] | No label in en! | Lithographie en immersion | 1 |
Q1662581 [CC | ] | information model | Modèle (informatique) | 1 |
Q3822650 [CC | ] | multiprocessor system | Multiprocesseur | 1 |
Q752700 [CC | ] | Asymmetric multiprocessing | Multitraitement asymétrique | 1 |
Q381364 [CC | ] | programmable read-only memory | Mémoire morte programmable | 1 |
Q49052 [CC | ] | Nexus S | Nexus S | 1 |
Q1218180 [CC | ] | Nikon | Nikon | 1 |
Q215969 [CC | ] | overclocking | Overclocking | 1 |
Q3406354 [CC | ] | autosynchronous processor | Processeur autosynchrone | 1 |
Q591041 [CC | ] | scientific publication | Publication scientifique | 1 |
Q746165 [CC | ] | shift register | Registre à décalage | 1 |
Q7394773 [CC | ] | STM32 | STM32 | 1 |
Q816747 [CC | ] | benchmark | Test de performance | 1 |
Q5339 [CC | ] | transistor | Transistor | 1 |
Q193395 [CC | ] | recording medium | אמצעי לאחסון נתונים | 1 |
Q2513962 [CC | ] | industrial control system | בקרה תעשייתית | 1 |
Q778569 [CC | ] | output | פלט | 1 |
Q1125955 [CC | ] | input | קלט | 1 |
Q175403 [CC | ] | microelectronics | Միկրոէլեկտրոնիկա | 1 |
Q1988 [CC | ] | 2001 | 2001 | 1 |
Q2024 [CC | ] | 2007 | 2007 | 1 |
Q1996 [CC | ] | 2009 | 2009 | 1 |
Q392156 [CC | ] | Association of MBAs | Association of MBAs | 1 |
Q3634178 [CC | ] | Banias | Banias | 1 |
Q1129239 [CC | ] | controller | Controller (informatica) | 1 |
Q188674 [CC | ] | programmable logic controller | Controllore logico programmabile | 1 |
Q1119662 [CC | ] | Imagination Technologies | Imagination Technologies | 1 |
Q461 [CC | ] | Internet Archive | Internet Archive | 1 |
Q3832580 [CC | ] | Lincroft | Lincroft | 1 |
Q2274919 [CC | ] | Moorestown | Moorestown | 1 |
Q856732 [CC | ] | Pentium M | Pentium M | 1 |
Q53227 [CC | ] | Sharp Corporation | Sharp Corporation | 1 |
Q1097284 [CC | ] | Intel Timna | Timna | 1 |
Q3992386 [CC | ] | Tolapai | Tolapai | 1 |
Q621681 [CC | ] | ultra-mobile PC | UMPC | 1 |
Q633839 [CC | ] | VIA Technologies | VIA Technologies | 1 |
Q4117663 [CC | ] | 60-bit computing | 60ビット | 1 |
Q258408 [CC | ] | EDA | EDA | 1 |
Q286144 [CC | ] | eDRAM | EDRAM | 1 |
Q179043 [CC | ] | impedance | インピーダンス | 1 |
Q5188863 [CC | ] | Crosstalk | クロストーク | 1 |
Q232469 [CC | ] | Core | コア | 1 |
Q11307177 [CC | ] | System LSI | システムLSI | 1 |
Q8799 [CC | ] | byte | バイト (情報) | 1 |
Q759494 [CC | ] | integrated circuit packaging | パッケージ (電子部品) | 1 |
Q1319657 [CC | ] | photomask | フォトマスク | 1 |
Q425936 [CC | ] | symmetric multiprocessor system | ヘテロジニアスマルチコア | 1 |
Q25304395 [CC | ] | CircuitMaker | マイクロコード | 1 |
Q3133368 [CC | ] | repository | リポジトリ | 1 |
Q13743633 [CC | ] | computer port | 入出力ポート | 1 |
Q17491536 [CC | ] | TrueNorth | TrueNorth | 1 |
Q420105 [CC | ] | accumulator | Аккумулятор (регистр процессора) | 1 |
Q1616095 [CC | ] | hardware virtualization | Аппаратная виртуализация | 1 |
Q2481505 [CC | ] | audio codec | Аудиокодек | 1 |
Q1623338 [CC | ] | pseudorandom number generator | Генератор псевдослучайных чисел | 1 |
Q4157708 [CC | ] | demultiplexer | Демультиплексор | 1 |
Q1661183 [CC | ] | index register | Индексный регистр | 1 |
Q65172078 [CC | ] | No label in en! | Матричный процессор | 1 |
Q17994098 [CC | ] | reliability | Надёжность | 1 |
Q353589 [CC | ] | Player | Плеер (проигрыватель) | 1 |
Q13566977 [CC | ] | Instruction prefetch | Предвыборка кода | 1 |
Q2600316 [CC | ] | FLAGS register | Регистр флагов | 1 |
Q401517 [CC | ] | Register window | Регистровое окно | 1 |
Q21015580 [CC | ] | system on module | Система на модуле | 1 |
Q26884850 [CC | ] | smart speaker | Умная колонка | 1 |
Q726235 [CC | ] | e-book reader | Электронная книга (устройство) | 1 |
Q10400040 [CC | ] | Acreo | Acreo | 1 |
Q836805 [CC | ] | Chalmers University of Technology | Chalmers tekniska högskola | 1 |
Q854280 [CC | ] | Royal Institute of Technology | Kungliga Tekniska högskolan | 1 |
Q10593770 [CC | ] | No label in en! | NES-on-a-chip | 1 |
Q295387 [CC | ] | SOC | SOC | 1 |
Q2700883 [CC | ] | ST-Ericsson | ST-Ericsson | 1 |
Q1347782 [CC | ] | Marvell Technology Group | Marvell Technology Group | 1 |
Q376193 [CC | ] | Silicon Integrated Systems | Silicon Integrated Systems | 1 |
Q1146920 [CC | ] | CPU time | Процесорний час | 1 |
Q1307492 [CC | ] | embedded database | Cơ sở dữ liệu nhúng | 1 |
Q305918 [CC | ] | iOS jailbreaking | Jailbreak iOS | 1 |
Q180256 [CC | ] | booting | Khởi động máy tính | 1 |
Q139859 [CC | ] | closed platform | Nền tảng đóng | 1 |
Q836795 [CC | ] | bootloader | Trình tải khởi động | 1 |
Q11661 [CC | ] | information technology | Informācijas tehnoloģijas | 1 |
Q407499 [CC | ] | Oscillator | Oscilators | 1 |
Q94 [CC | ] | Android | Android | 1 |
Q262246 [CC | ] | sign | Jel | 1 |
Q653278 [CC | ] | planchet | Lapka | 1 |
Q54919 [CC | ] | Virtual International Authority File | Nemzetközi Virtuális Katalógustár | 1 |
Q261593 [CC | ] | Linux | Linux | 1 |
Q5994105 [CC | ] | RAM | RAM | 1 |
Q2362549 [CC | ] | Substrate | Substrát | 1 |
Q56627903 [CC | ] | Arayüz | Arayüz | 1 |
Q4308 [CC | ] | graphics card | Ekran kartı | 1 |
Q124441 [CC | ] | washing machine | Çamaşır makinesi | 1 |
Q746478 [CC | ] | synchronous dynamic random-access memory | SDRAM | 1 |
Q4817200 [CC | ] | Atom | Atom (çip üzərində sistem) | 1 |
Q511901 [CC | ] | Ingenic Semiconductor | Ingenic Semiconductor | 1 |
Q28136779 [CC | ] | Microsoft Academic | Microsoft Academic | 1 |
Q796212 [CC | ] | semantics | Semantika | 1 |
Q420922 [CC | ] | Apple A6 | Apple A6 | 1 |
Q776616 [CC | ] | PowerVR | PowerVR | 1 |
Q92776 [CC | ] | Steve Furber | Stephen Furber | 1 |
Q6498398 [CC | ] | mechanical fan | Tuuletin | 1 |
Q79692 [CC | ] | 3G | 3G | 1 |
Q79697 [CC | ] | 4G | 4G | 1 |
Q47770 [CC | ] | Blu-ray Disc | Blu-ray | 1 |
Q184748 [CC | ] | codec | Codec | 1 |
Q8076 [CC | ] | video game console | Consolă de jocuri | 1 |
Q5294 [CC | ] | DVD | DVD | 1 |
Q179322 [CC | ] | Digital | Digital | 1 |
Q931309 [CC | ] | medical imaging | Imagistică medicală | 1 |
Q42591 [CC | ] | MP3 | MP3 | 1 |
Q210337 [CC | ] | media player software | Media player | 1 |
Q131765 [CC | ] | multimedia | Multimedia | 1 |
Q206924 [CC | ] | PCI Express | PCI Express | 1 |
Q20428704 [CC | ] | No label in en! | Rețea (dezambiguizare) | 1 |
Q146439 [CC | ] | silicone | Silicon | 1 |
Q525443 [CC | ] | distributed control system | Sistem de control distribuit | 1 |
Q11653 [CC | ] | electronic component | Elektronska komponenta | 1 |
Q2766 [CC | ] | iPhone | IPhone | 1 |
Q136778 [CC | ] | LG Group | LG (korporacija) | 1 |
Q1636958 [CC | ] | Xiaomi Corporation | Xiaomi | 1 |
Q2641209 [CC | ] | Almquist shell | Almquist shell | 1 |
Q4918679 [CC | ] | BitBake | BitBake | 1 |
Q1003023 [CC | ] | Buildroot | Buildroot | 1 |
Q620558 [CC | ] | BusyBox | BusyBox | 1 |
Q97376437 [CC | ] | Communication Access Programming Language | CAPL | 1 |
Q1170607 [CC | ] | Das U-Boot | Das U-Boot | 1 |
Q285749 [CC | ] | dietlibc | Dietlibc | 1 |
Q1040891 [CC | ] | Embedded GLIBC | Embedded GLIBC | 1 |
Q108443655 [CC | ] | LoongArch | LoongArch | 1 |
Q1191161 [CC | ] | MISRA C | MISRA C | 1 |
Q6942849 [CC | ] | musl | Musl | 1 |
Q1466576 [CC | ] | OpenEmbedded | OpenEmbedded | 1 |
Q284593 [CC | ] | uClibc | UClibc | 1 |
Q1314632 [CC | ] | Windows IoT | Windows IoT | 1 |
Q152242 [CC | ] | Yocto Project | Yocto計劃 | 1 |
Q242028 [CC | ] | lambda calculus | Λ演算 | 1 |
Q1416699 [CC | ] | cross compiler | 交叉編譯器 | 1 |
Q911682 [CC | ] | address bus | 位址匯流排 | 1 |
Q1074289 [CC | ] | frequency multiplier | 倍頻器 | 1 |
Q5369984 [CC | ] | Embedded C | 嵌入式C语言 | 1 |
Q1199316 [CC | ] | embedded Linux distribution | 嵌入式Linux | 1 |
Q1139923 [CC | ] | embedded operating system | 嵌入式操作系统 | 1 |
Q1484784 [CC | ] | placement | 布局 (集成电路) | 1 |
Q1446839 [CC | ] | routing | 布线 (集成电路) | 1 |
Q1330967 [CC | ] | page replacement algorithm | 快取文件置換機制 | 1 |
Q2235486 [CC | ] | control bus | 控制匯流排 | 1 |
Q11091749 [CC | ] | No label in en! | 最小硬件系统 | 1 |
Q1063962 [CC | ] | Board support package | 板级支持包 | 1 |
Q259856 [CC | ] | crippleware | 残废软件 | 1 |
Q14088448 [CC | ] | cadence | 終止式 | 1 |
Q1481571 [CC | ] | combinatory logic | 组合子逻辑 | 1 |
Q65045387 [CC | ] | No label in en! | 記憶體 | 1 |
Q1050567 [CC | ] | computer-aided engineering | 计算机辅助工程 | 1 |
Q1182568 [CC | ] | Defective by Design | 设计式缺陷 | 1 |
Q588784 [CC | ] | lightweight Linux distribution | 轻量级Linux发行版 | 1 |
Q284164 [CC | ] | μ-recursive function | 递归函数 | 1 |
Q1074539 [CC | ] | integrated circuit design | 集成电路设计 | 1 |
Q4530917 [CC | ] | Elektronik | Elektronik | 1 |
Q210793 [CC | ] | MOSFET | MOSFET | 1 |
Q420767 [CC | ] | Apple A4 | Apple A4 | 1 |
Q825762 [CC | ] | GeForce | GeForce | 1 |
Q21207 [CC | ] | Windows Mobile | Windows Mobile | 1 |
Q188522 [CC | ] | software testing | آزمون نرمافزار | 1 |
Q2555318 [CC | ] | automated reasoning | استدلال خودکار | 1 |
Q5157577 [CC | ] | computer security compromised by hardware failure | به خطر افتادن امنیت کامپیوتر در اثر خرابی سختافزار | 1 |
Q182557 [CC | ] | computational linguistics | زبانشناسی رایانشی | 1 |
Q6431942 [CC | ] | UML state machine | ماشین حالت یو.ام.ال | 1 |
Q1413406 [CC | ] | technical documentation | مستندسازی فنی | 1 |
Q328 [CC | ] | English Wikipedia | ویکیپدیای انگلیسی | 1 |
Q104840079 [CC | ] | Supervised learning | یادگیری با نظارت | 1 |
Q190593 [CC | ] | OCLC, Inc. | অনলাইন কম্পিউটার লাইব্রেরি সেন্টার | 1 |
Q33057 [CC | ] | International Standard Book Number | আন্তর্জাতিক মান পুস্তক সংখ্যা | 1 |
Q75 [CC | ] | Internet | ইন্টারনেট | 1 |
Q2556886 [CC | ] | mathematical chemistry | গাণিতিক রসায়ন | 1 |
Q68167246 [CC | ] | No label in en! | أمن الشبكات | 1 |
Q1779504 [CC | ] | energy management | إدارة الطاقة | 1 |
Q127992 [CC | ] | Association for Computing Machinery | جمعية آلات الحوسبة | 1 |
Q121117 [CC | ] | supercomputer | حاسوب فائق | 1 |
Q1430062 [CC | ] | cryptology | علم التعمية | 1 |
Q877977 [CC | ] | assignable variable | متغير (علم الحاسوب) | 1 |
Q131212 [CC | ] | text editor | محرر نصوص | 1 |
Q23049309 [CC | ] | No label in en! | ناخب (توضيح) | 1 |
Q254183 [CC | ] | augmented reality | واقع معزز | 1 |
Q249 [CC | ] | wireless communication | Comunicación inalámbrica | 1 |
Q1128441 [CC | ] | Contador | Contador | 1 |
Q8354913 [CC | ] | No label in en! | Depuración | 1 |
Q599031 [CC | ] | state | Estado (informática) | 1 |
Q530653 [CC | ] | Linux Terminal Server Project | LTSP | 1 |
Q369577 [CC | ] | standardization | Normalización | 1 |
Q45045 [CC | ] | simulation | Simulación | 1 |
Q2013 [CC | ] | Wikidata | Wikidata | 1 |
Q4653852 [CC | ] | ARM Cortex-A8 | ARM Cortex-A8 | 1 |
Q1478762 [CC | ] | logic analyzer | Analisador lógico | 1 |
Q10272926 [CC | ] | computer engineer | Engenheiro de computação | 1 |
Q1762621 [CC | ] | vendor | Fornecedor | 1 |
Q56627880 [CC | ] | No label in en! | Interface | 1 |
Q600634 [CC | ] | Pontifícia Universidade Católica do Rio Grande do Sul | Pontifícia Universidade Católica do Rio Grande do Sul | 1 |
Q7894396 [CC | ] | Universidade São Judas Tadeu | Universidade São Judas Tadeu | 1 |
Q5362061 [CC | ] | VLSI Technology | VLSI Technology | 1 |
Q2004 [CC | ] | 2008 | 2008년 | 1 |
Q182313 [CC | ] | ternary computer | 3진법 컴퓨터 | 1 |
Q2624 [CC | ] | June 2 | 6월 2일 | 1 |
Q177126 [CC | ] | DNA computing | DNA 컴퓨팅 | 1 |
Q204833 [CC | ] | Enhanced Data Rates for GSM Evolution | EDGE | 1 |
Q469599 [CC | ] | input–output memory management unit | IOMMU | 1 |
Q18815275 [CC | ] | No label in en! | LG G3 스크린 | 1 |
Q162345 [CC | ] | LG Electronics | LG전자 | 1 |
Q911952 [CC | ] | NX bit | NX 비트 | 1 |
Q113585 [CC | ] | PA-RISC | PA-RISC | 1 |
Q31178956 [CC | ] | No label in en! | 공정 | 1 |
Q176541 [CC | ] | optical computer | 광 컴퓨터 | 1 |
Q729 [CC | ] | animal | 동물 | 1 |
Q197536 [CC | ] | deep learning | 딥 러닝 | 1 |
Q11237815 [CC | ] | No label in en! | 롬 | 1 |
Q212923 [CC | ] | memristor | 멤리스터 | 1 |
Q55737017 [CC | ] | No label in en! | 모듈 (프로그래밍) | 1 |
Q12595323 [CC | ] | Motorola RAZR i | 모토로라 레이저 i | 1 |
Q175805 [CC | ] | semiconductor device | 반도체 소자 | 1 |
Q38933 [CC | ] | fever | 발열 | 1 |
Q11345 [CC | ] | equation | 방정식 | 1 |
Q294924 [CC | ] | Bulldozer | 불도저 (마이크로아키텍처) | 1 |
Q78585853 [CC | ] | Samsung Galaxy S20 | 삼성 갤럭시 S20 | 1 |
Q1140366 [CC | ] | Trusted Platform Module | 신뢰 플랫폼 모듈 | 1 |
Q63759 [CC | ] | analog computer | 아날로그 컴퓨터 | 1 |
Q18296909 [CC | ] | iPad Air 2 | 아이패드 에어 2 | 1 |
Q18010890 [CC | ] | iPhone 6 | 아이폰 6 | 1 |
Q18010891 [CC | ] | iPhone 6 Plus | 아이폰 6 플러스 | 1 |
Q11382 [CC | ] | conservation of energy | 에너지 보존 법칙 | 1 |
Q46276 [CC | ] | kinetic energy | 운동 에너지 | 1 |
Q844006 [CC | ] | channel I/O | 입출력 채널 | 1 |
Q2225 [CC | ] | electron | 전자 | 1 |
Q247144 [CC | ] | Counter | 카운터 | 1 |
Q125790403 [CC | ] | No label in en! | 컴퓨터 소프트웨어 | 1 |
Q173451 [CC | ] | transistor–transistor logic | 트랜지스터-트랜지스터 논리 | 1 |
Q512980 [CC | ] | Power Architecture | 파워 아키텍처 | 1 |
Q272695 [CC | ] | front-side bus | 프론트 사이드 버스 | 1 |
Q2482170 [CC | ] | Huawei Ascend Mate | 화웨이 어센드 메이트 | 1 |
Q600568 [CC | ] | heat pipe | 히트파이프 | 1 |